ACS14223 v2 Report No: ACS14223 . Republic of India Manufacturing Plan Implementation Fast Tracking India’s Electronics Manufacturing Industry: Business environment and industrial policy . Dieter Ernst, East-West Center, Honolulu March 2014 . GTCDR SOUTH ASIA . . . Standard Disclaimer: This volume is a product of the staff of the International Bank for Reconstruction and Development/ The World Bank. The findings, interpretations, and conclusions expressed in this paper do not necessarily reflect the views of the Executive Directors of The World Bank or the governments they represent. The World Bank does not guarantee the accuracy of the data included in this work. The boundaries, colors, denominations, and other information shown on any map in this work do not imply any judgment on the part of The World Bank concerning the legal status of any territory or the endorsement or acceptance of such boundaries. Copyright Statement: The material in this publication is copyrighted. Copying and/or transmitting portions or all of this work without permission may be a violation of applicable law. The International Bank for Reconstruction and Development/ The World Bank encourages dissemination of its work and will normally grant permission to reproduce portions of the work promptly. For permission to photocopy or reprint any part of this work, please send a request with complete information to the Copyright Clearance Center, Inc., 222 Rosewood Drive, Danvers, MA 01923, USA, telephone 978-750-8400, fax 978-750-4470, http://www.copyright.com/. All other queries on rights and licenses, including subsidiary rights, should be addressed to the Office of the Publisher, The World Bank, 1818 H Street NW, Washington, DC 20433, USA, fax 202-522-2422, e-mail pubrights@worldbank.org. 2 ACRONYMS AND ABBREVIATIONS 3DP (also 3D printing) three-dimensional printing ABB ABB Group ACTA Anti-Counterfeiting Trade Agreement AMD Advanced Micro Devices ASEAN Association of Southeast Asian Nations ASEAN-5 Indonesia, Malaysia, the Philippines, Singapore, and Thailand ASSOCHAM Associated Chambers of Commerce and Industry of India BEL Bharat Electronics Limited BIS Bureau of Indian Standards BSNL Bharat Sanchar Nigam Limited CAD current-account deficit CASPA Chinese American Semiconductor Professional Association CEAMA Consumer Electronics and Appliances Manufacturers Association CIER Chunghua Institution of Economic Research CII Confederation of Indian Industry CR concentration ratio CRT cathode ray tube CST Central Sales Tax CVD countervailing duty DEITy Department of Electronics and Information Technology DGFT Directorate General of Foreign Trade DIN (Germany’s) Institute for Standardization DOE Department of Electronics DOSTI Development Organization of Standards for Telecommunications in India DST Department of Science and Technology ECG electrocardiogram ECIL Electronic Corporation of India Ltd. EDA electronic design automation EDF Electronics Development Fund ELCINA Electronic Industries Association of India EMC electronics manufacturing cluster EMS electronic manufacturing service ERSO Electronics Research and Service Organization ESD electrostatic discharge ESDM Electronic System Design and Manufacturing ETSI European Telecommunications Standards Institute FDI foreign direct investment FPD flat-panel displays FTA free trade agreement GE General Electric GIN global innovation network GISFI Global ICT Standardization Forum for India GPA Government Procurement Agreement GST Goods and Services Tax HP Hewlett-Packard IBIDEN IBIDEN Co., Ltd. (formerly Ibigawa Electric Industry Co., Ltd.) IbIn India Backbone Implementation Network IBM International Business Machines Corporation IC integrated circuit ICT information and communications technology IEC International Electrotechnical Commission IEEE-SA Institute of Electrical and Electronics Engineers Standards Association IESA Indian Electronics and Semiconductor Association IIM Indian Institute of Management IISC Indian Institute of Science IIT Indian Institute of Technology IMF International Monetary Fund IP intellectual property IPR intellectual property rights IS Indian Standards ISO International Organization for Standardization IT information technology ITA Information Technology Agreement ITRI Industrial Technology Research Institute LCD liquid-crystal display LED light emitting diode LG LG Electronics (formerly GoldStar) LITDC Electronics and Information Technology Division Council MAI Market Access Initiative MAIT Manufacturers’ Association for Information Technology MDA Market Development Assistance MCO multi-component integrated circuit MFN most favored nation MNC multinational corporation MOEA (Taiwan’s) Ministry of Economic Affairs M-SIPS Modified Special Incentive Package Scheme MSMEs micro, small, and medium enterprises NABCB National Accreditation Board for Certification Bodies NABL National Accreditation Board for Testing and Calibration Laboratories NPE National Policy on Electronics NQC National Quality Control NTB non-tariff barrier NTP National Telecom Policy OECD Organisation for Economic Co-operation and Development OEM original equipment manufacturer PCB printed circuit board PMA preferential market access PSU public sector units PTA preferential trade arrangements PV photovoltaic 4 Q “quarter,” as in a quarter of a calendar or fiscal year QCI Quality Council of India RIM Research In Motion Limited (now BlackBerry Limited) RoHS Restriction of Hazardous Substances SBIR Small Business Innovation Research Program SCL Semiconductor Complex Limited SEZ special economic zone SDO standards-development organization SHIS Status Holder Incentive Scrip SITP Scheme for Integrated Textile Parks SMEs small and medium enterprises SOE state-owned enterprise SMT surface-mounted technologies STB set-top box TBT technical barriers to trade TCL TCL Communication Technology Holdings Limited TEC Telecommunications Engineering Center TIER Taiwan Institute of Economic Research TPP Trans-Pacific Partnership Agreement TRIPS Trade Related Aspects of Intellectual Property Rights TSIA Taiwan Semiconductor Industry Association TTIA Transatlantic Trade and Investment Agreement USITC United States International Trade Commission WTO World Trade Organization ZTE ZTE Corporation (formerly Zhongxing Semiconductor Co. Ltd.) 5 Contents EXECUTIVE SUMMARY .......................................................................................................... 8 CHAPTER ONE: PROSPECTS FOR INDIA TO MEET ITS POTENTIAL...................... 15 1. Electronics Manufacturing in India Lags Behind Its Potential .......................................... 15 1.1. A narrow and eroding domestic component base .......................................................... 16 1.2. A challenging resource environment ............................................................................. 17 1.3. Overdue change ............................................................................................................. 17 2. Latecomer Disadvantages and Advantages ....................................................................... 17 3. India’s New Manufacturing Imperative ............................................................................. 19 4. Disconnect between Manufacturing and Design Capabilities ........................................... 22 5. A Fragmented Innovation System ..................................................................................... 23 CHAPTER TWO: POLICY PARAMETERS ......................................................................... 27 1. Institutions, Markets and Trade Agreements Define the Scope for Regulatory Reform and Industry Policy .......................................................................................................................... 27 2. Domestic Institutions and the Legacy of the License Raj.................................................. 29 2.1. From State-led mission-oriented planning to Liberalization ......................................... 29 2.2. Liberalization came late to the electronics industry ...................................................... 29 3. International Trade Agreements ........................................................................................ 30 3.1. WTO-related parameters and “plurilateral” trade agreements ....................................... 31 3.2. The Information Technology Agreement (ITA) ............................................................ 33 3.3. Regional and Mega-Regional Trade Agreements .......................................................... 40 4. Global Oligopolies and Entry Barriers .............................................................................. 41 4.1. Technology-centered competition is intensifying .......................................................... 42 4.2. The spread of global production and innovation networks ............................................ 43 4.3. Late entry into global oligopolies requires extraordinary efforts .................................. 44 4.4. Evidence – Tight global oligopolies in important electronics market segments ........... 45 4.5. India’s electronics market is as oligopolized as the global industry .............................. 47 4.6. Market control without domestic manufacturing ........................................................... 48 4.7. India’s challenges and opportunities .............................................................................. 49 CHAPTER THREE: THE VIEW FROM INDUSTRY – REGULATIONS AND OTHER INVESTMENT BARRIERS ...................................................................................................... 51 1. Research Methodology and Interview Sample .................................................................. 51 2. Firms’ Strategies: Challenges, Objectives and Capabilities .............................................. 51 2.1. How companies define their strategic objectives........................................................... 51 2.2. How strong are India-based IC design capabilities? ...................................................... 52 3. Regulatory Barriers: Taxes, Trade and Licenses ............................................................... 54 3.1. Definition and brief overview of regulatory barriers ..................................................... 54 3.2. Domestic market fragmentation, taxes and the GST ..................................................... 54 3.3. An inverted tariff structure............................................................................................. 55 3.4. Customs clearance ......................................................................................................... 56 4. A Weak and Dysfunctional Standards System .................................................................. 58 5. The Challenges of Young Innovative Firms ...................................................................... 59 6. Impact on Business Organization ...................................................................................... 60 CHAPTER FOUR: UPGRADING STRATEGY AND SUPPORT POLICIES ................... 62 1. Key Questions .................................................................................................................... 62 2. Industry Perceptions, Implementation, and Dialogue ........................................................ 62 6 2.1. Firms’ specific expectations from support policies ....................................................... 63 2.2. The general constraint of transparent, user-friendly implementation ............................ 63 2.3. Industry dialogues and policy innovators: The example of Taiwan, China .................. 65 3.4. The role of industry associations ................................................................................... 68 3. Policies to Implement the Strategic Role of Standards ...................................................... 69 3.1. Why standards are critical for latecomer industrialization ............................................ 69 3.2. Latecomer standardization is costly ............................................................................... 71 3.3. India’s standardization system ....................................................................................... 73 3.4. Government initiatives ................................................................................................... 75 4. From Plan to Implementation – Recommendations for Improving India’s NPE .............. 76 4.1. Objectives and policy tools ............................................................................................ 76 4.2. Industry perceptions ....................................................................................................... 78 4.3. Priority products............................................................................................................. 79 4.4. The Semiconductor Wafer Fab Policy ........................................................................... 80 4.5. Industry views and recommendations on other NPE support policies .......................... 84 5. Additional Policy Recommendations ................................................................................ 87 5.1. Framework for policy recommendations ....................................................................... 87 5.2. What is success? Measuring industrial upgrading ......................................................... 88 5.3. Establishing a robust national standard development infrastructure ............................. 89 5.4. Government procurement .............................................................................................. 91 5.5. Strengthening the absorptive capacity of Indian firms .................................................. 91 5.6. Flexible policy implementation ..................................................................................... 92 6. Last Thoughts - The Growing Importance of International Trade Diplomacy .................. 92 APPENDIX ONE: FIGURES AND TABLES.......................................................................... 94 APPENDIX TWO: QUOTES FROM INTERVIEWS .......................................................... 102 Acknowledgements: This report has been authored by Dr. Dieter Ernst. He is a Senior Fellow at the East-West Center in Honolulu, USA. RedSeer Consulting, based in Bangalore, India, provided crucial research support. This study was commissioned by the World Bank, New Delhi, and guided by Luke Jordan, Private Sector Development Specialist, based in New Delhi, India. The author wishes to thank the principal reviewers: Ganesh Rasagam, Lead Private Sector Development Specialist, and Volker Treichel, Lead Economist and Sector Leader (both at the World Bank). This paper has been prepared under the Manufacturing Plan Implementation non-lending technical assistance (P132991), led by Luke Simon Jordan (former TTL) and Bertine Kamphuis (GTCDR, TTL). Overall guidance was provided by Onno Ruhl (Country Director, SACIN), and Ivan Rossignol and Henry K Bagazonzya (Sector/Practice Managers, SASFP/GTCDR). This material has been funded by United Kingdom aid from the UK Government, however the views expressed do not necessarily reflect the UK Government’s official policies. 7 EXECUTIVE SUMMARY A. CONTEXT The country is a leading exporter of information-technology services, including knowledge- intensive chip design. Yet electronics manufacturing in India is struggling despite a huge and growing domestic market and pockets of world-class capabilities. To examine the prospects for India to meet its potential, the World Bank commissioned this study in May 2013 on behalf of the Chief Economic Advisor, Government of India. Drawing on extensive survey questionnaires and interviews with key industry players (both domestic and foreign) and relevant government agencies, this study identifies major challenges India-based companies face in engaging in electronics manufacturing. The analysis culminates in detailed policy suggestions for regulatory reform and support policies needed to unblock barriers to investment in this industry and to fast-track its upgrading through innovation. While the National Policy on Electronics (NPE) is moving in the right direction, it is only a first step. Both the Indian government and the private sector would need to join forces and develop a decisively longer-term industrial development strategy that combines smart regulatory reform and structural industry support policies. Surging Demand, Struggling Supply Electronics manufacturing in India is struggling, despite a huge and growing domestic market and pockets of world-class capabilities. Local production faces cost disadvantages which constrain investment in plants and equipment, technology absorption and innovation. Local production is also disconnected from India’s design capabilities, which are integrated into global networks of innovation and production, using existing production locations. Given this, India’s growing domestic demand for electronic products results in rising imports of final products and high import dependence for key components. Those imports have become the third most important driver of the country’s record current account deficit, after petroleum (crude and products), and gold. Unless this is addressed soon, the sector is unlikely to achieve the targets set for it in the 12th Five Year Plan: an output of US$120 billion and millions of jobs by 2017. Bold action is required to initiate a break with the anemic growth of electronics manufacturing, as well as with outdated patterns of policy responses, particularly in light of fast-changing dynamics in the industry. Vertical Specialization defines India’s New Manufacturing Imperative Such a response must take into account, first of all, the new manufacturing imperatives created by a fast-changing industry, with more change on the horizon. Geographically dispersed networks of production and innovation have fragmented electronics manufacturing, while product life cycles have been and are being drastically reduced. The locations that have dominated “high-volume, low-value” manufacturing have created capabilities to scale up new production lines at speed, but even they are struggling to keep up with the pace of product change. At the same time, new advanced manufacturing technologies, especially additive manufacturing (often called “3D 8 printing”) may facilitate mass customization based on “low-volume, high-value” production, and challenge existing distributions of competitive advantage. These trends create an important strategic challenge for India’s electronics industry. Unlike China and earlier industrial latecomers from Asia, India can no longer rely exclusively on “high volume, low cost” manufacturing for rapid growth in electronics manufacturing, but also needs to pursue a niche market strategy that focuses on “higher-value, low-volume” products. In principle, India seems to be well qualified to address this imperative. Accumulated strengths in electronic systems and integrated circuit design could provide the basis for such “low-volume, high-value” electronics manufacturing. However, deep integration of electronic design capabilities into global R&D networks is paired in India with little integration into the domestic electronics manufacturing value chain. In order to reap the benefits of value chain integration, India would need to devise means to link circuit design and development capabilities that are now trapped within the R&D labs of multinational corporation (MNC) affiliates, back to India-based companies that serve India’s domestic markets. That task is made more difficult by India’s fragmented innovation system, characterized by weak links between education, research and industry, a challenge of which many in India are acutely aware. India thus faces domestic challenges that constrain the capacity for the productivity-enhancing innovation of India’s electronics industry just when the global electronics industry is going through rapid change that is closing off historical strategies for growth. The industry itself is aware of these constraints, and policymakers have begun to respond. Before turning to those, however, it is important to understand the constraints imposed by the global market structure, in particular that defined by trade policy and global oligopolies. B. POLICY PARAMETERS Trade Policy India’s experience with trade liberalization through international trade agreements has two sides. Some sectors like information technology (IT) services, car components and generic pharmaceuticals are often seen to have benefitted from India’s WTO membership. As far as electronics manufacturing is concerned, however, WTO membership obliges India to ensure “compliance” of its industrial and innovation policies with increasingly complex trade rules, constraining India’s options for national support policies that were available earlier to Japan, Korea and Taiwan. The most important of these rules are those defined by the Information Technology Agreement (ITA). It is likely that in India’s experience with the ITA the gains from trade liberalization were overshadowed by substantial costs, especially stalled or declining domestic production. India joined the ITA in 1997 from a position of weakness, with its electronics sector liberalized barely a year earlier and still finding its feet. With an inverted tariff structure in place thereafter, finished products being duty free but their components not, domestic production had little chance 9 of building capabilities or investing at scale. This contrasts with China’s approach, which joined from a position of strength. When China entered the ITA in 2003, six years after India, it was already the third largest exporter and the fourth largest importer of ITA products. As a result of India’s entry into the ITA, India’s imports of key electronics products have grown much faster than domestic production, with the result that imports now account for almost two thirds of India’s consumption of electronics products. India’s imports grew especially fast for integrated circuits and other core electronics components, with the result that local value-added of electronics manufacturing is now down to less than 10 percent. The inverted tariff structure, coming on top of the early exposure of an unready sector to the full pressures of a globalized industry, is now being exacerbated by the erection of non-tariff barriers in developed markets, which may neutralize any positive effects of ITA-induced tariff reductions in target markets. These trade constraints are further neutralized by the structure of the global market. That, far from being a field of unfettered competition, has over the last twenty years become more and more concentrated in oligopolies. Global Oligopolies A market segment is said to be controlled by a loose oligopoly when the four largest firms hold more than 25 percent of sales, and a firm oligopoly when this ratio rises above 50 percent. Over the last two decades one after the other segment in the global electronics industry has crossed this threshold, from PC platforms to hard disks to smart phones today. Likewise, a defining characteristic of India’s electronics markets is that a handful of MNCs dominate as oligopolists without engaging in substantial domestic manufacturing in India (whether directly or through contractors), with the exception of low-value-added final assembly. Of course, oligopolies do not necessarily mean an absence of competition, and often the opposite can be true (such as Apple and Google/Samsung today). But it is important to understand the barriers to entry they create for manufacturing in India, whether by themselves or by Indian challengers. These MNCs can rely on their extended global production networks to source the relevant products for the Indian market from their preferred production sites, primarily in China. In addition to cost advantages, what matters most for MNCs is that they can benefit from the accumulated capabilities in China for rapid and low-cost scaling up of sophisticated production lines. These capabilities to scale-up at speed imply Indian firms would not only need to match Chinese prices, but to beat them, perhaps by as much as 15 percent. At the same time, global oligopolists can erect high entry barriers for Indian firms who might seek to enter or re-enter the industry. Global oligopolists can set lower prices than challengers, not only because they can source the relevant products from low-cost production sites through their global production and innovation networks, but also because of their control over leading-edge technology and their superior innovation capacity. Finally, oligopolistic control gives rise to a “commoditization” of electronics products across the globe, imposing substantial constraints to local innovation efforts that would seek to address 10 specific needs of India’s domestic market through “frugal innovation.” Successful entry into those markets would require quite extraordinary efforts by Indian firms to develop superior business models and new technologies. For that to happen, both the Indian government and the private sector would need to join forces and develop a decisively longer-term industrial development strategy that combines smart regulatory reform and structural support industries. C. RESPONSES AND RECOMMENDATIONS These findings are elaborated in detail in the main body of this report, and should not be taken to mean that international factors dominate domestic ones. The constraints on manufacturing in India are as much made at home as made abroad, and India’s policymakers and the industry’s stakeholders have many pathways to overcoming them, at least enough to catalyze growth. In fact, regulatory reform together with a sustained set of support policies could help to quickly unblock many barriers to investment and growth in electronics manufacturing. Many of the elements of such a strategy are known to domestic firms and to policymakers. The Government of India has already incorporated many in the 12th Five Year Plan as well as the NPE, which is in the initial stages of implementation. However, one of the principal findings of a survey of electronics firms is that many remain either unfamiliar with the NPE, or skeptical of the details of its implementation. On the other hand, a range of industry associations were involved in its formulation and their leaders did know it intimately. This may imply that communication within some of the associations needs strengthening, but also that the next stage in improving industrial dialogue is to complement the associations by reaching down to firms themselves. In that vein, this report complements the NPE on two fronts: with specific policy recommendations that reach beyond electronics to the business environment in general, including specific trade actions; and fundamental process changes, such as in industrial dialogue, standards and trade diplomacy, to improve policy outcomes over the long-term. These implications are summarized under the following three headings. Note that, while discrete changes in regulation or support policies should be a starting-point, parallel efforts under headings II and III are required to enhance the impact and sustainability of such policies. I. Discrete changes in regulation or support policies 1. Necessary first steps: quickly unblock barriers to investment through regulatory simplification and national market integration. In particular: o Speedy transition to a unified Goods and Services Tax (GST) system, the single most commonly cited “reform wish” from electronics manufacturers o Drastic simplifications in business regulatory environment, in particular on dispute resolution in customs, as well as formal and informal penalties for growth 2. Devise and enforce quality standards on high priority products (e.g. medical devices, set-top boxes) to protect against dumping 3. Cut tariffs all the way up the supply chain to remove the inverted tariff structure. This requires addressing domestic and international implementation constraints: 11 o Conflicts of interest between one segment of the value chain and another, and potentially between Centre and States o Constraints from existing international trade agreements (see below) 4. Reduce short-term infrastructure bottlenecks, such as power and transportation o As part of general reforms in these sectors o Through specialized clusters, delivered in ways that respond to organic firm demand to get locations right (e.g., through tweaking the Electronics Manufacturing Cluster model) 5. Concerted effort to strengthen both vocational training and curricula for higher-level skill development required for electronics manufacturing. II. Facilitate policy implementation through process changes and institutional innovations 1. Focus, simplify and improve communication through transparent and user-friendly support policies (e.g., starting with focused awareness building directly with firms about the NPE) 2. Encourage “industrial dialogues” that involve not only large flagship firms, but also: o Young firms that seek to create and commercialize new products and processes o University and public R&D labs o Industry associations that seek to enhance the scope for such dialogues. 3. Link these participants not only to “talk shops”, but to meaningful, action-oriented committees (e.g., review the composition of the assessment committees for the NPE) 4. Strengthen India’s capacity to develop critical technical standards (especially for inter- operability) and to improve the management and development of standards-essential patents III. Overhaul international investment and trade diplomacy 1. Shift the environment for foreign direct investment (FDI) from zero-sum to positive-sum by combining: o Reduction of de facto barriers to FDI, such as fiscal and policy uncertainty, with o Incentives for foreign firms to engage in industrial upgrading, over and above mere final assembly, o Incentives to integrate India-based electronic design capabilities with domestic electronics manufacturing o Monitoring and problem-solving processes and institutions to ensure and facilitate such upgrading and linking (e.g., through the restructured industrial dialogs mentioned above) 2. Use India’s strong position in the WTO to co-shape the design of a “New ITA” (and other plurilateral trade agreements and free trade agreements (FTAs) beyond the entrenched defensive positions of the major trading powers o Improve the distribution of benefits from international trade agreements through “special and differentiated treatment” requirements o Request a reform of ITA that reflects that ITA participants differ in their stage of development, their institutions, and their resources and capabilities o Extend ITA and other plurilateral trade agreements to include technical barriers to trade (TBTs) and non-tariff barriers (NTBs) 3. Strengthen India’s participation in international standards development organizations and private standards consortia, and its capacity to co-shape the development of important international standards for electronics manufacturing. 12 CONCLUSION It is clear that India’s electronics manufacturing industry currently lags behind global industry leaders. Catching up and forging ahead through an upgrading of domestic production and innovation capabilities therefore poses difficult challenges. Yet India also has enormous potential, as it can exploit a number of advantages that many competitors lack: a growing and huge domestic market and private sector, a globally competitive and dynamic information and communication technology (ICT) services sector, a young, abundant and trainable workforce, the human capital resources of a formidable global Indian diaspora with deep knowledge and extensive networks in the global electronics industry and pockets of domestic scientific and technological excellence which can be leveraged – this is a country that has a space program, as well as nuclear and domestic missile development programs. In fact, global transformations in technology and markets that make prior strategies unavailable may open up alternate strategies that play to India’s strengths, notably its strong base of high -end capabilities and its large domestic market. To achieve its potential, though, electronics manufacturing in India needs an adjustment in its industrial growth model: from one of fragmentation, chasing “high volume, low value” activities, towards one of integration, with a greater focus on “high value, low volume” and, for high-volume, on frugal innovation for the domestic market. The NPE is an important first step on this path. It needs to be communicated more directly to firms themselves, and its implementation needs to be focused on its key components and sustained over the coming years. It then needs to be complemented, on the one hand, by reforms beyond the industry, especially those relating to GST, customs, compliance and inspections; and, on the other, by process changes, especially the strategic use of standards. But most importantly, it is important to develop industrial dialogues and public-private partnerships among diverse players in the industry (including small and medium enterprises) in order to fine-tune the analysis of capability gaps across the electronics manufacturing service (EMS) value chain in India. Such a longer-term industrial development strategy needs to complement regulatory reforms, so that barriers to investment can be reduced. This strategy obviously needs to draw on a deep understanding of the rapidly evolving technology roadmaps and the dynamics of global competition. To end with an example, consider medical equipment. Here, India has demonstrated the capacity for “frugal innovation”, perhaps most famously with the development of General Electric’s low- cost electrocardiogram (ECG), which subsequently disrupted developed markets. India also has a wide base of capabilities, from its life-sciences researchers to its pharmaceutical firms. In one scenario, this medical equipment eco-system could remain fragmented, offered some incentives but swamped by low-quality dumping. In another, they could be integrated in permanent groups and committees, shaping international standards for low-cost as well as high- end devices, with young start-ups released from constraints to growth and foreign MNCs investing in domestic R&D and manufacturing. At present, there are conflicting signs in each direction. To take but one small example as of this writing, on the one hand the NPE is pushing the development of twelve standards for medical equipment; on the other, this must be done still through an 13 institutional structure such that two Union Cabinet Ministers must discuss the font size on the labels of such equipment.1 Overall, then, the NPE – and the sophisticated process that has devised it – is a first step in the direction of the more hopeful scenario, but it urgently needs buttressing with broader reforms and permanent process improvements that spill over into other domains (particularly trade diplomacy and standards). With the NPE’s sustained implementation, however, complemented by broader reforms and process changes, a fast-track for electronics manufacturing in India is possible. 1 Department of Electronics and IT (DEITy), Electronics e-Newsletter, Year 3, Volume 24, October 2013. 14 CHAPTER ONE: PROSPECTS FOR INDIA TO MEET ITS POTENTIAL 1. Electronics Manufacturing in India Lags Behind Its Potential India faces a fundamental challenge. The country is a leading exporter of information-technology services, including knowledge-intensive chip design. Yet electronics manufacturing in India is struggling despite a number of advantages that many competitors lack: a growing and huge domestic market and private sector, a young, abundant and trainable workforce, the human capital resources of a formidable global Indian diaspora with deep knowledge and extensive networks in the global electronics industry and pockets of domestic scientific and technological excellence which can be leveraged – this is a country that has a space program, as well as nuclear and domestic missile development programs. Why is it that India keeps lagging behind in this important industry, while other countries which have started out from a much weaker position have forged ahead and established themselves as new global players in electronics manufacturing exports? What precisely are the fundamental barriers that constrain the development of an Indian electronics industry? And what policies are needed to unblock the barriers to investment in this industry and to fast-track its upgrading through innovation? To answer these questions, Chapter One examines the current weaknesses of India’s electronics manufacturing industry and identifies the strategic challenge in realizing its potential. A defining characteristic is that struggling supply is unable to keep up with surging demand. Local production faces substantial cost disadvantages (“disabilities”) which constrain investment in plants and equipment, technology absorption, capability development and innovation. There is a huge gap between the rapid growth of domestic demand and the practically stagnant domestic production, and this gap is projected to increase further (see Figure 1 in Appendix One). At the current growth rate, the demand-supply gap is projected to increase from US$25 billion in FY2009 to US$298 billion in FY2020. Such a growing gap is unsustainable – the result would be an increase in India’s trade deficit to US$323 billion by 2020, equaling 16 percent of GDP.2 However, in order to reach a value of US$400 billion in FY2020, domestic production would need to grow by 31 percent annually for the FY2009-2020 period. Given the weakness of domestic production, India’s growing domestic demand for electronic products results in rising imports, while bottlenecks abound across the industry’s value chain, causing excessive import dependence for key components. In 2011, imports counted for 63.6 percent of India’s consumption of electronics products, and 51 percent for electronic components. By 2015, the share of imports is expected to increase to 65 percent and 61 percent respectively.3 According to the latest Ministry of Commerce data, electronic imports have increased by almost 2 Ernst & Young, 2009, Electronic Systems, Design & Manufacturing Ecosystem. Strategy for Growth in India , New Delhi, p.10 3 Frost & Sullivan and IESA, 2013. See also http://forbesindia.com/article/briefing/indias-electronics-import-bill- could-become-larger-than-its-oil-bill/32386/1; http://www.business-standard.com/article/sme/increased-import-of- chinese-goods-hurts-indian-msmes-113061701112_1.html; http://www.deccanherald.com/content/319130/electronic-goods-import-up-30.html 15 21 percent from FY2011 to FY2012.4 As a result, the electronics industry is the third most important driver of the country’s record current account deficit, after petroleum, crude and products, and gold (see Table 1 in Annex One). 1.1. A narrow and eroding domestic component base A patchy value chain limits the scope for expanding and upgrading India’s electronics manufacturing industry. While India has significant capabilities in digital integrated circuit (IC) design, most of these capabilities are not linked to the domestic market.5 India lacks strong capabilities in semiconductor fabrication, component manufacturing, system design and systems manufacturing and supply chain management. Each of these weaknesses will be examined in some detail later in this study. A particular concern is a narrow and eroding domestic component base. Take printed circuit board (PCB) manufacturing, an essential building block for any electronic equipment. According to the India Printed Circuit Board Association, roughly two thirds of India’s PCB market is served through imports. India has a meager share of 0.7 percent of the world PCB output.6 According to a PCB industry expert, “it will be difficult for India to compete against volume producers in China and Taiwan and even those in Vietnam, Thailand and Malaysia, unless makers are prepared to spend more than $100 million.”7 In the strategically important telecom equipment industry, PCBs and a variety of electronic components account for around 90 percent of the product cost. However, with the exception of cable harnesses and packaging, no components are currently made in India.8 In fact, the liberalization of telecom services has boosted the demand for telecom equipment, but this has not led to the development of a domestic telecom manufacturing industry. Instead, global telecom equipment vendors such as Alcatel, Ericcson, and increasingly Huawei and ZTE have been the primary beneficiaries. Consumer electronics, the largest segment of India’s electronics market is largely dominated by MNCs, especially Panasonic, Sony, LG, Samsung. Over the last few years, these companies have substantially decreased domestic production, and rely now overwhelmingly on imports from China. Take TV sets, the largest segment of India’s consumer electronics market. With the transition to LCD TVs, local production in India has virtually stopped. It is important to emphasize that domestic vendors are even less reliant on domestic production – they almost completely source from China.9 A particularly telling sign of the status of India’s electronics industry is that India does not even show up in McKinsey’s list of top-ten countries of global value-added in the electronics industry.10 4 See Table 1 in Appendix One. 5 See detailed analysis below. 6 Presentation of Dr. Hayao Nakahara (N.T. Information Ltd, Japan) at India Printed Circuit Board Association, November 2008, http://www.ipcaindia.org/pdffiles/PCBMktOppDrNakahara.pdf 7 Ibid. 8 http://coai.in/docs/Booz%20Study%20on%20Equipment%20Manufacturing%20Policy.pdf 9 For details, see interview findings in Chapter Three. 10 http://www.slideshare.net/morellimarc/mckinsey-manufacturing-future-2013-22958651 16 Finally, FDI in India’s electronics industry has been extremely low, even relative to other sectors – the industry ranks 26 out of 64 sectors in terms of the cumulative FDI received from April 2000 to April 2013.11 1.2. A challenging resource environment Only a few years ago, India seemed to be well placed to mobilize the resources needed to unblock the barriers to investment in electronics manufacturing and to fast-track the industry’s growth. In 2009, for instance, India’s current account deficit (CAD) was US$26 billion (in current US dollars), and GDP was growing at above 8 percent. Today, the constraints on government policies are severe. The Indian government faces the difficult task of bringing the CAD down to US$70 billion in the current FY2013, from US$88.2 billion during FY2012.12 Impacted by the high CAD, the rupee has declined sharply, touching an all-time low of 68.85 to a dollar in late August 2013. Even though the currency has since stabilized, the rising cost of crude oil imports and electronics imports is likely to continue to put pressure on the CAD, as will India’s weak manufacturing capabilities, especially in electronics. As a result, India now runs a CAD of about 5 percent of GDP and a record fiscal deficit approaching 10 percent of GDP if state governments’ debt is included.13 In other words, India faces a serious vicious circle of intensifying economic policy constraints. Of necessity, the analysis and policy recommendations of this study will need to take these constraints into account. 1.3. Overdue change Bold action is required to initiate a break with the anemic growth of electronics manufacturing, as well as with outdated patterns of policy responses. Something needs to change, and it needs to change soon. In order to identify realistic options for the development of India’s electronics manufacturing industry, it is imperative first to analyze how much electronics manufacturing in India lags behind its potential. Chapter One of this study therefore explores where India stands compared to main competitors. The chapter first highlights the dual challenge that India faces as a latecomer to the electronics manufacturing industry. The analysis then sheds light on global transformations in technology and markets that define India’s new manufacturing imperative; highlights a weak industrial innovation capacity that constrains productivity growth; and points specifically to the disconnect that separates manufacturing from India’s design capabilities. 2. Latecomer Disadvantages and Advantages 11 DIPP FDI Statistics, http://dipp.nic.in/English/Publications/FDI_Statistics/2013/india_FDI_April2013.pdf 12 “What awaits Raghuram Rajan: Falling rupee, high current account deficit”, Press Trust of India, September 4, 2013, http://profit.ndtv.com/news/economy/article-what-awaits-raghuram-rajan-falling-rupee-high-current-account- deficit-326617 13 Thomson Reuters Datastream dat, quoted in Pilling, D. and J. Noble, 2013, “Storm Defences Tested – Asia”, Financial Times, August 29: page 5. 17 As a latecomer to electronics manufacturing, India is facing a dual challenge. Substantial barriers to entry (“latecomer disadvantages”) result from being behind in production volume and sophistication and in the level of technology.14 Such entry barriers include but are not restricted to:  Production-related scale economies, including learning economies, threshold barriers and economies of scope;  Barriers related to intangible investments required for developing the knowledge and competence base as much as complementary support services;  Barriers to entry and exit of network transactions, particularly in the context of sourcing arrangements for core components;  Barriers related to customer relations, including market intelligence, sales channels, and maintenance and repair;  And the growing number of regulatory barriers (including standards) which, directly or indirectly, affect the costs of entry. Case studies of latecomer industrialization however have shown none of these entry barriers are absolute – they can be reduced under certain conditions. Take economies of scale which can constrain the entry of latecomers for at least three reasons: the existence of learning economies, the lumpiness of investment and the need to reduce the cost of increasing product variety.15 In principle, this could be avoided, if the market expanded rapidly, which clearly is the case for India’s electronics industry. The distinction between “latecomers” and incumbent “leaders” who have accumulated “first - mover advantages” goes back to debates among economic historians on how “relative economic backwardness” in the 19th century has shaped the patterns and strategies of industrialization of countries such as the US, Germany, Japan and Russia.16 It was argued that, under certain conditions, economic advantages are conferred on countries which are latecomers to industrial development. The basic idea is that those who are behind have the potential to make a larger leap. According to a classical study, “the larger the technological and, therefore, the productivity gap between leader and follower, the stronger the follower’s potential for growth in productivity: and, other things being equal, the faster one expects the follower’s growth rate to be. Followers tend to catch up faster if they are initially more backward.”17 In one of its more sophisticated versions, 14 The following sections draw on Ernst, D. and D. O'Connor, 1992, Competing in the Electronics Industry. The Experience of Newly Industrialising Economies, Development Centre Studies, OECD, Paris. 15 See for instance Bain, J.S., 1959, Barriers to New Competition, Harvard University Press, Cambridge, Mass., and Scherer, F.M., 1980, Industrial Market Structure and Economic Performance, Houghton Mifflin Company, Boston. 16 Gerschenkron, A., 1962, Economic Backwardness in Historical Perspective, Belknap Press of Harvard University, Cambridge, Mass; Nelson, R.R. and G. Wright, 1992, “The Rise and Fall of America's Technological Leadership: The Postwar Era in Historical Perspective”, Journal of Economic Literature, 30/4: pages 1931 to 1964; and Landes, D., 1965, “Japan and Europe: Contrasts in Industrialization”, in: Lockwood, W.W., ed, The State and Economic Enterprise in Japan, Princeton University Press, Princeton. 17 Abramovitz, M., 1989, “Catching up, forging ahead, and falling behind”, chapter 7 in Abramovitz, M., Thinking about Growth. And Other Essays on Economic Growth and Welfare, Cambridge University Press, Cambridge etc : p.221. 18 this argument contents that, since the cost of changing to each more advanced level of technology progressively increases, latecomers do have a chance of bypassing industrial early starters.18 In short, India can exploit new opportunities as it is facing fewer legacy constraints to technology development, strategy and organization (“latecomer advantages”). Most importantly, India could reap the latecomer advantage of not repeating the public policy mistakes that others made and learning from the considerable body of knowledge and experience of the East Asian countries over the past 40 years to develop and upgrade its electronics industry. 3. India’s New Manufacturing Imperative The plight of India’s electronics manufacturing industry in fact is part of a broader challenge. As highlighted by the Planning Commission, “…[t]he slow pace of growth in the manufacturing sector at this stage of India’s development is not an acceptable outcome. … While the services sector has been growing fast, it alone cannot absorb the 250 million additional income-seekers that are expected to join the workforce in the next 15 years. Unless manufacturing becomes an engine of growth, providing at least 100 million additional decent jobs, it will be difficult for India’s growth to be inclusive.”19 At the same time, drastic gains are required in India’s manufacturing productivity: “…[T]o increase exports as well as provide its internal market with domestically produced manufactured goods that compete with imports, India must manufacture a much larger volume of products at competitive costs and quality.”20 It is important however to emphasize that India, unlike China and other earlier industrial latecomers from Asia, can no longer rely exclusively on “high volume, low cost” manufacturing as the main strategic option for expanding its manufacturing industry. This traditional manufacturing paradigm has ceased to be the only viable strategy for India, and has important constraints on its viability at all. Vertical specialization through geographically dispersed global corporate networks of production and innovation has fragmented industrial manufacturing; product life cycles are being drastically reduced; and mass customization based on “low-volume, high-value” production is gaining in importance relative to traditional forms of “high-volume, low-cost” manufacturing.21 18 Ames, E. and Rosenberg, N., 1963, “Changing Technological Leadership and Industrial Growth”, Economic Journal, vol. 73, pp. 13-31, March 19 Planning Commission, 2011, Faster, Sustainable and More Inclusive Growth. An Approach to the 12 th Five Year Plan, chapter 8 Manufacturing Sector: page 80, italics added. 20 Ibid. 21 Marsh, P., 2012, The New Industrial Revolution, Consumers, Globalization and the End of Mass Production , Yale University Press, New Haven and London. See also Pisano, G.P. and W.C. Shih, 2012, Producing Prosperity. Why America Needs a Manufacturing Renaissance, Harvard Business Review Press, Boston, Mass. For transformations in the electronics industry, see Ernst, D., 2009, A New Geography of Knowledge in the Electronics Industry? Asia’s Role in Global Innovation Networks, Policy Studies #54, East-WestCenter, Honolulu, USA; and Ernst, D., 2013, High Road or Race to the Bottom? Reflections on America’s Manufacturing Futures, East-West Center Working Paper, East-West Center, Honolulu, October 19 Current challenges faced by the global EMS industry illustrate the limits to “high volume, low cost” manufacturing. Falling PC sales and slowing smartphone sales are squeezing profit margins, while growth in tablets and servers used in giant data centers are insufficient to compensate for this loss. Global brand leaders in computing and mobile devices are all experimenting with new and unfamiliar products – big tablets, small tablets, hybrid notebook-tablets, ultra notebooks and wearable devices, resulting in a proliferation of new models that individually ship in low volumes. As a result, profit margins of an EMS provider like Taiwan’s Foxconn are squeezed, since the company must now spend time testing how best to make each of the above new products and as massive investments are required in restructuring the company’s product lines. In short, while “high-volume, low-cost” manufacturing remains important, this traditional form of electronics manufacturing is facing increasing pressure. At the same time, new opportunities are opening up for “low volume, high value strategies”. New Advanced Manufacturing technologies, such as new materials, nanotechnology, and additive manufacturing (often called “3D printing”) are transforming the economics of industrial manufacturing and innovation, and are challenging existing distributions of competitive advantages.22 Companies and governments in the United States (US), the European Union (EU) and in Japan are all searching for ways to strengthen their capacity for “low-volume, high-value manufacturing” and related services.23 Take “3D printing” (3DP), a group of emerging technologies that create objects from the bottom- up by adding material one cross-sectional layer at a time, similar to creating an object using Lego building blocks. 3DP differs fundamentally from established approaches to manufacturing, where material is subtracted from a larger piece of material - that is, “subtractive” manufacturing.24 3DP may well change fundamentally the economics of industrial manufacturing. Massive cost reductions in process technology become possible, as 3DP reduces scrap, shortens production cycles and increases flexibility in design and product development. The result will be a new geography of industrial manufacturing - assembly lines and supply chains can be reduced or eliminated for many products that are today sourced from plants around the world and assembled in specialized global assembly lines. As a potentially disruptive technology, 3DP could have negative implications for the established high volume-low value manufacturing model that is focused on export-led growth. As the technology begins to be perfected and deployed, the production of goods could shift back to consumer countries, like the US, leading to falling demand for imports from Asian emerging economies. This poses a major challenge for high volume, low cost export production factories across Asia, but especially so in China. According to one observer, the transformation of industrial 22 Shipp, S.S. et al, 2012, Report on Emerging Global Trends in Advanced Manufacturing, prepared for the Office of the Director of National Intelligence (ODNI), Institute for Defense Analyses, Washington, D.C., http://www.dtic.mil/dtic/tr/fulltext/u2/a558616.pdf 23 For the US, see National Science and Technology Council, A National Strategic Plan for Advanced Manufacturing, February 2012; The AMP Steering Committee Report to the President, Capturing Domestic Competitive Advantage in Advanced Manufacturing, July 2012. See also Ernst, D., 2012, High Road or Race to the Bottom? Reflections on America’s Manufacturing Futures, manuscript, East-West Center. 24 http://wohlersassociates.com/additive-manufacturing.html 20 manufacturing through “… this new technology will change again how the world leans”25, and this might potentially reverse the great transfer of wealth and jobs to Asia brought about over the last decades by offshore outsourcing of manufacturing. However, leading Asian exporting countries are not sitting still and are searching for ways to co- shape the development of transformative Advanced Manufacturing technologies. China for instance is aggressively developing a complete laser industry chain as a basis for 3DP, covering crystal, component, accessory, laser, system, R&D, application and service. China also is very active in new materials, nanotechnology, advanced computing and new energy technology. From India’s perspective, it is important to emphasize that 3DP is one of the priority targets of China’s innovation policy, as codified especially in the Strategic Emerging Industries (SEI) initiative. This includes creating a medium- and long-term development strategy for 3DP, promoting the formulation of codes and standards, and increasing efforts to support 3DP technology development and commercialization through special fiscal and taxation policies.26 As a result of those efforts, China today has the fourth largest installed base of 3DP users with 8.7 percent of all industrial 3DP installations.27 According to Luo Jun, CEO of the Beijing-based Asian Manufacturing Association (AMA), revenues for the 3D printing industry in China are likely to reach Y10 billion (US$1.6 billion) within three years. A leading industry expert expects that China may become the biggest 3DP market within three to five years.28 Of particular interest for India’s electronics industry is that the potentially most important application for 3DP is to produce parts and components for final products. In China, this is happening right now in the defense and aviation industry for low-volume, high value components.29 As this study demonstrates, the lack of a vibrant domestic component industry is one of the most fundamental weaknesses of India’s electronics industry. This raises the question whether India could catch up in 3DP manufacturing, in order to enable India-based manufacturers to domestically produce components that otherwise would have to be imported at high cost. There is no doubt that the costs and risks involved in such technology leapfrogging would be substantial, given that 3DP manufacturing technology is still at an early stage, with many 25 Richard A. D’Aveni, “3-D Printing Will Change the World,” Harvard Business Review, March 201 3, http://hbr.org/2013/03/3-d-printing-will-change-the-world/ar/1 . 26 Anderson, E., 2013, Additive Manufacturing in China: Threats, Opportunities, and Developments (Part 1) , SITC Bulletin Analysis, May 2013, quoting “2012年增材制造技术国际论坛暨第六届全国增材制造技术学术会议在 武汉召开” [2012 Additive Manufacturing Technology International Forum and Sixth National Additive Manufacturing Conference Opens in Wuhan], December 28, 2012 http://www.nmgjxw.gov.cn/cms/zbhygzdt/20121228/7986.html. 27 The US continues to lead with 38% of all industrial 3DP installations, followed by Japan (9.7%), Germany (9.4%) and China (8.7%). “3D printing market in China to reach $ 1.6 billion within three years”, http://www.3ders.org/articles/20130530-3d-printing-market-in-china-to-reach-billion-within-three-years.html 28 Tromans, G., 2013, “View From the East,” TCT Magazine, February 21, http://www.tctmagazine.com/additive- manufacturing/view-from-the-east/ 29 Anderson, E., 2013, Additive Manufacturing in China: Aviation and Aerospace Applications (Part 2) , http://www- igcc.ucsd.edu/assets/001/504640.pdf 21 unresolved technical problems.30 However, it may be worthwhile exploring to what degree India’s defense and aviation industries are suited to be early adopters of 3DP. The basic message is that India’s manufacturing imperative is shaped by emerging new advanced manufacturing technologies. For India’s electronics industry, this raises an important strategic challenge: Can Indian electronics manufacturing firms develop the necessary capabilities to substantially expand their presence in “high-volume, low-cost” manufacturing, while at the same time pursuing a niche market strategy that focuses on higher-value products that may generate premium prices and hence enable sufficiently large profit margins to support R&D investment? Failing to meeting this challenge will pose risks to both the industry and to India’s goals for job creation, but the key factors driving the challenge are beyond India’s control. 4. Disconnect between Manufacturing and Design Capabilities In principle, India seems to be well qualified to address this new manufacturing challenge. Accumulated strengths in electronic systems and integrated circuit design could provide the basis for such “low-volume, high-value” electronics manufacturing. However, these strengths are unevenly distributed. While design skills in India are well developed for digital design, embedded software and reference board design, they lag behind global standards in analog and mixed signal design. According to the 12th Five Year Plan Working Group on the Information Technology Sector, Indian electronic design engineers “[lack] the breadth and the depth of experience, where breadth indicates the knowledge of all the aspects of a design flow and depth indicates an extensive knowledge of a particular aspect of the design flow.”31 Of particular concern is that India lacks sufficient capabilities in end-to-end chip design and, most importantly, in the critically important area of design-for-manufacturing. Systems management still continues to be driven by the overseas headquarters of MNCs. Moreover, India’s thriving integrated circuit design sector remains largely disconnected from the India market. Most of the design work is done for MNCs and the design is taken back to their home country, where decisions are made on where to locate manufacturing, many times ending up in places like Shenzhen. In short, deep integration of electronic design capabilities into global R&D networks is paired in India with almost no integration into the domestic electronics manufacturing value chain. For instance, all major electronic design automation (EDA) tool providers for chip design have large 30 “3D Printing Is Here—But the Factory in Every Home Isn’t Here Yet!” Deloitte Canada, http://www.deloitte.com/view/en_GX/global/industries/technology-media-telecommunications/tmt-predictions- 2012/technology/ab173e14447a4310VgnVCM1000001a56f00aRCRD . See also Campbell, T. et al, 2011, Could 3D Printing Change the World? Technologies, Potential, and Implications of Additive Manufacturing, Strategic Foresight Initiative Report, Atlantic Council, October 17, http://www.acus.org/files/publication_pdfs/403/101711_ACUS_3DPrinting.PDFhtm#.UVCALRysjTo 31 Planning Commission, 2012, The Manufacturing Plan. Strategies for Accelerating Growth of Manufacturing in India in the 12th Five Year Plan and Beyond: page 122. http://planningcommission.gov.in/aboutus/committee/strgrp12/str_manu0304.pdf 22 facilities in India. But all of them are 100 percent focused on export markets. Hence, little of these capabilities are likely to disseminate within India. As a result, Indian manufacturing is not benefiting from its rich pool of sophisticated IC design engineers. This creates a lack of engineering talent for product conceptualization and product management of the Indian market and for emerging markets. In order to reap the benefits of value chain integration, India needs to devise a way to link circuit design and development capabilities that are now trapped within MNC R&D labs back to India-based companies that serve India’s domestic markets. A related challenge is how to strengthen interactions and knowledge flows between the MNC R&D labs and India’s university labs and public research labs. At the moment, these capabilities for innovation and production are fragmented where they need to be connected. 5. A Fragmented Innovation System The result of this fragmentation is that India’s weak innovation capacity constrains the necessary growth of productivity gains. As emphasized by the Planning Commission, …[t]he lacklustre growth of manufacturing …[is due]… to the low technological depth of the Indian manufacturing sector. In India R&D has not been sufficiently exploited and needs an overhaul in terms of its focus and its organization. Most Indian manufacturing firms appear to be stuck at the basic or intermediate level of technological capabilities. Creating conducive environments to increase business expenditure on R&D complemented by institutional measures around skill development, regulation and standardisation need to be key areas of emphasis.32 The question is then what are the sources of this fragmentation, as they pertain specifically to electronics manufacturing. Chapter Three of this study will show that for many domestic firms, inadequate size prevents economies of scale and scope, while high costs of doing business and complex regulations constrain profit margins and hence investment in production and R&D. In addition, larger foreign original equipment manufacturers (OEMs) and EMSs typically conduct only final assembly in India, and are reluctant to invest in full-scale manufacturing and R&D. Industrial research outputs in terms of patents and non-technological innovation, as reflected in trademark counts, remain limited. No company in our interview sample has developed and successfully launched a radical breakthrough product innovation over the last three years. A few companies in our interview sample have introduced new products, but these are based on foreign technology and include mostly incremental adaptions or derivate product variations. Those companies however are reluctant to file for patents with the Indian Patent Office, as its response time is much too slow for the needs of the fast-moving electronics industry. As a corollary, most companies that we interviewed have not actively filed patents. Government policies, thus far, have had a mixed impact. Indian government funding of R&D accounts for more than two-thirds of the total funding sources,33 but it has not been able to compensate for the weakness of industry R&D. Industry funding of R&D has steadily increased over the past 20 years, but remains less than a third of the total, whereas in the US and China industry accounts for more than two-thirds of all R&D funding. 32 Planning Commission, 2011: p. 5. 33 http://www.rdmag.com/articles/2012/12/bric-india 23 More broadly, compared to US and Asian competitors the Indian Government provides only limited support for industrial R&D. A significant portion of India’s R&D focuses on support for its services sector, which accounts for about two-thirds of India’s GDP. India’s pharmaceutical industry also accounts for a sizable portion of its R&D, while electronics manufacturing is practically absent from the national R&D scene, with the exception of Bharat Electronics Limited (BEL), the public sector enterprise. The most recent Global Innovation Index 2013 provides ample evidence of India’s weak industrial innovation capacity.34 India ranks 66, out of 142 countries, with a total score of 36.2. China on the other hand ranks 35, with a total score of 44.7. According to the OECD, “India shows a relatively low capacity in science, technology and innovation (STI), in comparison to advanced OECD countries and emerging economies like China.”35 According to the Battelle Institute, a primary source of international R&D data, India’s gross expenditure on research and development was 0.85 percent of GDP in 2012 (compared to 1.6 percent in China), a figure essentially unchanged since 2000.36 While India is on par with France, the UK and Russia in its total annual R&D expenditures, it substantially lags not only the US and Germany, but also Korea and China (Figure 2 in Appendix One). For critical indicators, such as R&D intensity and the relative number of scientists and engineers, India’s R&D system is stuck in a lower league, together with smaller countries like Iran, Turkey, South Africa and Malaysia. India’s industrial innovation capacity today contrasts with its long tradition, with advanced technology development dating back to 2500 BCE.37 For centuries, India was the world’s largest economy, producing a third of the global gross domestic product.38 By the end of the 17th century it controlled a quarter of the world trade in textiles,39 and as late as the 18th century, the British government dispatched observers to India to study innovations in steel, textiles and medicine. Today, India’s innovation system is characterized by persistent heterogeneity. One economy is the high-productivity, knowledge-based organized sector that produces 41 percent of GDP, but absorbs only 8.6 percent of the workforce.40 The other vastly larger economy is the informal sector that absorbs 91.4 percent of the workforce, but contributes only 59 percent of GDP. Both economies coexist, but remain separated by a huge divide in productivity, capital intensity and 34 Cornell University, INSEAD, and WIPO, 2013, eds, The Global Innovation Index 2013. The Local Dynamics of Innovation, Geneva, Ithaca, and Fontainebleau 35 OECD, 2012, India Sustaining High and Inclusive Growth, http://www.oecd.org/india/IndiaBrochure2012.pdf: p.14. 36 The 2013 R&D Magazine/Battelle Global R&D Funding Forecast, http://www.rdmag.com/digital- editions/2012/12/2013-r-d-magazine-global-funding-forecast 37 James Heitzman and Robert L. Worden, editors. Science and Technology. India: A Country Study. Washington: GPO for the Library of Congress, 1995. http://countrystudies.us/india/101.htm 38 Balachandran, G., ed. India and the World Economy, 1850–1950 Oxford University Press, 2005 39 In 1700, India had a 22.6% share of the world’s GDP, China ‘s share was 23.1%, and the whole of Europe had 23.3.% (Maddison, Angus, 2001, The World Economy: A Millennial Perspective, OECD, Paris) 40 Agarwal, P., 2006, Higher Education in India. The Need for Change, Indian Council for Research on International Economic Relations (ICRIER), Working Paper 180, New Delhi: page 40, quoting data from the Planning Commission’s 2002 Report of Special Group on Targeting Ten Million Employment Opportunities a Year in the Tenth Five Year Plan. 24 skills, and hence are moving at different speeds. As a result, India’s innovation system is complex and fragile, and it is difficult to predict its future development. India’s economic institutions, both public and private, were largely designed for a time before India was opened to the global economy. These institutions must be strengthened to cope with the requirements of transforming India into an internationally competitive industrial economy.41 The task of modernizing India’s economic institutions has only just begun.42 The result is a deep fragmentation of India’s innovation system, resulting in weak links between education, research and industry.43 With but few exceptions, India has a poor track record in commercializing ideas, discoveries and inventions, and policies have emphasized self-reliance and techno-nationalism, but neglected applied research and commercial product development. The country has one of the smallest ratios of scientists and engineers per million people (137/million people), with “an estimated 25% shortage of engineers in the country.”44 This is quite different from other countries in Asia, such as China and South Korea, which produce larger numbers of engineers each year than any other countries in the world. Part of this skill shortage is due to the highly variable quality of India’s higher education institutions, ranging from excellent to inadequate. Elite institutions like the India Institutes of Technology (IITs) and the Indian Institutes of Management (IIMs) cannot provide all the answers. For instance, all of India’s IITs just educate 8,000 people – a tiny fraction of the country’s student population. All IIMs, taken together, have a smaller intake than Harvard Business School.45 Of particular concern is the dearth of qualified faculty, as top graduates prefer to go abroad or work for the affiliates of global corporations. In short, India’s higher education system appears to be one of the weakest links in its innovation system. With tuition fees and self-financing courses, the cost of higher education is shifting from the government to private households. In addition, there are the well-known deficiencies in primary education, hit by high teacher absenteeism and high drop-out rates.46 Recent research shows that “the persistently high illiteracy rate is falling … and almost none of the new entrants to the urban labor force is illiterate but a majority of them do not complete school.”47 Overall, these shortfalls in general education indicate severe limitations to the quality of India’s workers who are needed to translate innovations into competitive products and services. 41 Jalan, B., 2005, Future of India: Politics, Economics and Governance , Penguin, New Delhi 42 Kelkar, Vijay. (2004). India: On the Growth Turnpike. Narayanan Oration, Australian National University, April. See also Kelkar, Vijay. (1999). “India’s Emerging Economic Challenges,” Economic and Political Weekly, August 14, pp. 2326-29. 43 Agarwal, P., 2006, Higher Education in India. The Need for Change , Indian Council for Research on International Economic Relations (ICRIER), Working Paper 180, New Delhi http://www.icrier.org/pdf/ICRIER_WP180__Higher_Education_in_India_.pdf 44 http://www.rdmag.com/articles/2012/12/bric-india 45 Kapur, D. and B.P. Mehta, 2007, Mortgage the Future? India’s Higher Education System, Brookings- NCAER India Policy Forum, Washington, D.C. 46 Dahlman, C. and A. Utz, 2005, India and the Knowledge Economy: Leveraging Strengths and Opportunities, The World Bank, Washington, D.C., June: chapter 3. 47 Partha Mukhopadhyay, email to the author, August 19,2013. 25 A final element of concern is engineering and vocational training. Research for the Working Group on Information Sector for the 12th Five Year Plan documents quite pervasive general skill gaps in India’s electronics industry: “While electronics engineers lack skills and exposure to production processes, mechanical engineers lack sufficient exposure to electronics, but the industry/shop floor expects multiskilling in both these areas.”48 The Working Group reports widespread skill bottlenecks that include surface-mounted technologies (SMT), LCD technologies, semiconductors, nanotechnology, programmable logic controllers and robots, and quality control practices and tools. In addition, serious skill bottlenecks are also reported for the following basic manufacturing tasks: precision welding and soldering techniques; safety norms; meeting pollution control laws; reading circuit diagrams and populating boards; and awareness of shop floor concepts of electrostatic discharge (ESD). These skills are complex and take time to train, and may therefore be precisely the skills neglected in attempts to reach exceedingly ambitious targets to train hundreds of millions of workers in just a decade, which privileges short, simple courses that fit easily in government procurement norms.49 So, beyond electronics manufacturing, but of vital importance for it, India faces a challenge of historic proportions. To convert its demographic surplus into a source of sustained economic growth, India needs to repair its education system, and it needs to link education and research together, so that both can foster the development of domestic industry. This is necessary to improve India’s competitiveness and its innovative capabilities in industrial manufacturing. If this does not happen, India’s electronics industry cannot count on a vibrant industrial innovation system which in turn constrains its capacity for productivity-enhancing innovation. This is the context that shapes this study: a weak industry with a fragmented innovation system facing an industry going through rapid global change that is closing off historical strategies for growth. The next chapter turns to the parameters constraining any potential policy response. 48 Ministry of Communications & Information Technology, 2012, Report of the Working Group on Information Technology Sector, Twelfth Five Year Plan, Chapter 5. e-Industry (Electronics Hardware) 49 Sonderegger, P. and Mehta, N., Tamil Nadu Manufacturing Skills Delivery, Indian School of Business, 2013 26 CHAPTER TWO: POLICY PARAMETERS 1. Institutions, Markets and Trade Agreements Define the Scope for Regulatory Reform and Industry Policy To identify ways to unblock the development of India’s electronics manufacturing industry, the study examines restrictive regulations imposed by the central and state governments and their support policies, with a particular focus on implementation capacity. We define “regulations” broadly to include laws (such as subordinate legislation, bylaws and amendments); supported by policies that are implementing regulations; and rules, technical standards, directives, guidelines and administrative procedures at the Central, State and Local levels of the Government.50 Based on interviews with a sample of over 45 companies, the study examines the impact on firm behavior of fiscal regulations (taxes, tax breaks and other fiscal incentives), trade-related regulations (tariffs, technical barriers to trade, and customs clearance), technical standards and certification, competition policy (preferential market access schemes and insolvency regulations), as well as labor laws and regulations.51 In subsequent chapters, this study will show that smart regulatory reform can help unblock the barriers to investment and growth in electronics manufacturing, through a pragmatic focus on many incremental improvements. Such an approach would be in line with a report by Raghuram Rajan arguing that rather than politically controversial big bang reforms, India should “… take a hundred small steps that will collectively…. [e]nsure inclusion, growth and stability by allowing players more freedom, even while strengthening the financial and regulatory infrastructure.”52 However, though necessary, smart regulatory reform will not be enough. To address the root causes of India’s lagging performance, a longer-term and structural industrial development agenda is also required. An important step in this direction is the National Policy on Electronics (NPE), which seeks to improve India’s international competitiveness through incentives for capability development, cluster formation, R&D, and technology transfer through FDI. Before turning to the specifics of both this regulatory reform and needed support policies, though, it is important to understand the institutional and structural constraints on them. Recent research on liberalization in India and elsewhere finds that the strength of a country’s economic institutions conditions the success or failure of regulatory reform attempts,53 and 50 Katoch, N., L. S. Jordan and D. Bhattasali, 2013, Regulations Restricting the Growth of Non-Farm Enterprises, Draft April 3, World Bank, New Delhi, April 3. 51 For details on the interview sample, methodology and findings, see Chapters Three and Four. 52 http://faculty.chicagobooth.edu/raghuram.rajan/research/papers/A%20hundred%20small%20steps.pdf 53 Aghion, P., R. Burgess, S. Redding, F. Zilibotti, 2006, The Unequal Effects of Liberalization: Evidence from Dismantling the License Raj in India, NBER Working Paper No. 12031, February: 31 pages. Focusing on differences in labor regulations across India’s states, these authors argue that “local institutions and policies matter for whether a region benefits or is harmed by nationwide liberalization reforms. This is in line with a small but growing trade liberalization literature which points to heterogeneous effects depending on the local institutional setting in which liberalization takes place.” (p.24) 27 regulatory reforms need to be appropriate to other elements of the economic environment, such as the state of technology and the organization of credit and labor markets.54 In line with this emphasis on domestic economic institutions, Raghuram Rajan argues in a recently published essay that India’s economic growth has slowed because: …India probably was not fully prepared for its rapid growth in the years before the global financial crisis. … [S]trong growth tests economic institutions’ capacity to cope, and India’s were found lacking….[And]… because India’s existing economic institutions could not cope with strong growth, its political checks and balances started kicking in to prevent further damage, and growth slowed….To revive growth in the short run, India must improve supply, which means shifting from consumption to investment. And it must do so by creating new, transparent institutions and processes, which would limit adverse political reaction.55 In Chapter Two of this study we will thus first highlight how the legacy of the License Raj has shaped the transition from State-led mission-oriented planning to Liberalization, with the result that persistent restrictive regulations continue to stifle private investment and innovation in India’s electronics manufacturing industry (see section 2). While the story of the License Raj is well known, it is important to understand its persisting legacy for the electronics industry, one of the last to be liberalized. While the link with domestic economic institutions matters, it is also important to broaden the analysis beyond the role of domestic economic institutions. Equally important is an analysis of two inter-related international transformations:  Constraints to India’s industrial and innovation policies that result from increasi ngly complex international trade agreements and their rules (see section 3).  Entry barriers to Indian electronics manufacturing firms that result from the prevalence of global oligopolies in the electronics industry (see section 4). This conceptual framework will then be used in Chapter Three to interpret the research findings on firm strategy and regulatory barriers. The framework also serves as a guide for examining in Chapter Four implications for support policies (especially NPE) and for evaluating recommendations received during extensive interviews in India’s electronics industry. Finally, this framework will also help identify a more general finding: There are diverse pathways to industrial upgrading in the electronics industry, and India needs to develop its own approach (or a portfolio of approaches). Replicating the Japanese, Korean, Taiwanese models of electronics industry development and upgrading is clearly not an option for India today, given the constraints imposed by the above three fundamental policy parameters. 54 Acemoglu, D., P. Aghion and F. Zilibotti (2006), “ Distance to Frontier, Selection, and Economic Growth”, Journal of the European Economic Association, 4(1), pp. 37-74, March. 55 Rajan. R., 2013, Why India Slowed, 30 April, http://www.project-syndicate.org/print/the-democratic-roots-of- india-s-economic-slowdown-by-raghuram-rajan 28 2. Domestic Institutions and the Legacy of the License Raj 2.1. From State-led mission-oriented planning to Liberalization After independence, India’s industrial policy had been shaped by the Industries (Development and Regulation) Act (1951) which introduced a system of industrial licensing that regulated and restricted the entry of new firms and the expansion of existing ones and became known as the “License Raj”. It was expected that state control over industrial development via licensing would help to accelerate industrialization and economic growth, and that it would reduce regional disparities in income and wealth. Regulations were all-encompassing, from establishing a factory to starting a new product line.56 Applications for industrial licenses were made to the Ministry of Industrial Development and then reviewed by an inter-ministerial Licensing Committee. This rigid system of regulation prevented the development of a vibrant private manufacturing industry. Only a handful of the large business houses (like the Tatas and Birlas) could afford to cope with the uncertainty that resulted from unpredictable selection decisions and frequent delays of indeterminate length. Those rigid regulations created perverse forms of business models. For instance, the leading business houses routinely engaged in preemptive license applications as a means for stabilizing capacity and investment planning. During the 1980s, it became clear that the “License Raj” development model was producing disastrous results. Rising external debt, exacerbated by the increase in oil prices due to the Gulf War, resulted in macroeconomic crisis and India was obliged to request a stand-by arrangement with the International Monetary Fund (IMF). In May 1991, a Structural Adjustment agreement imposed by the IMF as a condition for financial aid became a powerful catalyst for the government to implement a far-reaching liberalization of the Indian economy. Industrial licensing was increasingly abolished, in waves of industries. 2.2. Liberalization came late to the electronics industry The electronics industry however was one of the last to be de-licensed. Until the 1980s, the main focus of the electronics industry was the so-called “strategic industries”, especially defense electronics. State-owned enterprises (SOEs) like Bharat Electronics Limited (BEL) and the Electronic Corporation of India Ltd (ECIL) dominated, and the Department of Electronics was in charge of approving not only a firm’s entry into electronics, but any changes in product line or increased output for a product already approved.57 A tight coalition of interest between the Department of Electronics (DOE) and the SOEs “impeded the emergence of local private firms and delayed India’s exploitation of new microprocessor-based technologies.”58 56 http://business.gov.in/legal_aspects/industries_act.php 57 For details see Ernst, D. and O'Connor, D., 1992, Competing in the Electronics Industry. The Experience of Newly Industrialising Economies, Development Centre Studies, OECD, Paris; and Grieco, J., 1984, Between Dependency and Autonomy: India’s Experience with the International Computer Industry , University of California Press, Berkeley and Los Angeles. 58 Evans, P., 1995, Embedded Autonomy. States and Industrial Transformation , Princeton University Press, Princeton, New Jersey: p.115. 29 It was only in 1996, when licensing was abolished for consumer electronics, that the electronics industry was de-licensed, with the important exception of the aerospace and defense electronics sectors. As part of the dramatic shift to liberalization, tariff and non-tariff barriers were also slashed as India opened its economy to the outside world. It was expected that the liberalization of industrial and trade policy would “encourage and assist Indian entrepreneurs to exploit and meet the emerging domestic and global opportunities and challenges. The bedrock of any package of measures must be to let the entrepreneurs make investment decisions on the basis of their own commercial judgment.”59 However, despite the roll-back of industrial licenses per se, many dysfunctional regulations have remained in place and continue to stifle private investment and innovation in India’s electronics industry. As will be analyzed in Chapter Three, many firms feel as if the quantity of licenses has declined, but the cost of complying with those that remain has climbed. Moreover, the fact that electronics was only de-licensed in 1996 meant that when it was opened to the full force of free trade in 1997 it was still struggling to find its feet. 3. International Trade Agreements India’s experience with trade liberalization through international trade agreements has two sides. Some sectors like IT services, car components and generic pharmaceuticals have clearly benefitted from India’s WTO membership. As far as electronics manufacturing is concerned however, this section will demonstrate that the gains from trade liberalization were overshadowed by substantial costs, especially stalled or declining domestic production. This finding should not divert attention away from this study’s message that restrictive regulations and weak implementation of support policies are the principal constraints on investment in and growth of India’s domestic electronics manufacturing. As emphasized in the 12th Five Year Plan, as well as by Raghuram Rajan, the government needs to better align domestic policies in order to unblock the barriers to investment and growth in the electronics industry.60 In fact, as examined in detail in this study, the Indian government already seeks to fast track the development of India’s electronics manufacturing industry through regulatory reform and industrial support policies. The government’s National Policy on Electronics is a first step on this path. This policy initiative seeks to improve India’s international competitiveness through incentives for capability development, cluster formation, R&D, and technology transfer through FDI. Equally important however are efforts to enhance the technological capabilities of Indian electronics firms, and to strengthen India’s innovation system, with a focus on technology absorption and an improved capacity to use and develop critical technical standards. At the same time however, India’s experience with ITA indicates that early membership and large tariff concessions may well create additional challenges once a country seeks to reduce investment 59 Government of India/Ministry of Industry, 1991, Statement on Industrial Policy, New Delhi, July 24, http://dipp.nic.in/English/Policies/Industrial_policy_statement.pdf 60 Rajan, Why India Slowed. 30 barriers in its domestic electronics industry. Hence, international trade agreements can act as an additional constraint to India’s domestic electronics manufacturing. The following analysis focuses on constraints to national industrial support policies that result from India’s WTO membership, and the impact of a proliferation of plurilateral trade agreements. In particular, the analysis will examine in detail an inverted tariff structure that tilts towards imports over domestic production, and the asymmetric distribution of gains from trade liberalization that results. 3.1. WTO-related parameters and “plurilateral” trade agreements India’s National Policy on Electronics, and any other support policies and reform efforts direct at the electronics industry, needs to take into account important external WTO-related parameters. WTO membership obliges India to ensure “compliance” of its industrial and innovation policies with increasingly complex trade rules which reflect the evolution of the multilateral trading system. This constrains India’s options for national support policies that were available earlier to Japan, Korea and Taiwan.61 For instance, the Agreement on Trade Related Investment Measures (TRIMs) prohibits domestic regulations a country applies to foreign investors that can have trade-restrictive and distorting effects. Policies are now banned, such as local content requirements and trade balancing rules, which were traditionally used to promote the interests of domestic industries.62 Already, and as described in Chapter Four, the Government had to delay its Preferential Market Access (PMA) scheme, a component of the National Policy on Electronics, in response to critique from the US, the EU and Japan that this scheme would not be in compliance with India’s WTO obligations. In addition, the WTO Agreement on Trade Related Aspects of Intellectual Property Rights (TRIPS) sets down minimum standards for many forms of intellectual property (IP) protection to be provided by each Member to nationals of other WTO Members.63 TRIPS lays down in detail the procedures and remedies that must be available in each country so that right holders can effectively enforce their rights.64 Disputes between WTO Members about the respect of the TRIPS obligations are subject to the WTO’s dispute settlement procedures. According to its proponents, the TRIPS provisions seek to reduce distortions and impediments to international trade, promote 61 For research on industrial and innovation policies in Japan, Korea and Taiwan and their use of policy tools that today would be prohibited by WTO rules, see Odagiri, G., H. Odagiri and A.Goto, 1996, Technology and Industrial Development in Japan: Building Capabilities by Learning, Innovation and Public Policy , Oxford University Press, Oxford etc; Kim, Linsu, 1997, Imitation to Innovation: The Dynamics of Korea's Technological Learning, Harvard Business Press, Cambridge, Mass.; and Chen, Tain-Jy and J.L.Lee, eds, 2004, The New Knowledge Economy of Taiwan, Edgar Elgar Publishers. 62 For details, http://www.wto.org/english/tratop_e/trims_e.htm 63 The coverage of the TRIPS agreement is quite pervasive, and includes: copyright and related rights (i.e. the rights of performers, producers of sound recordings and broadcasting organizations); trademarks including service marks; geographical indications including appellations of origin; industrial designs; patents including the protection of new varieties of plants; the layout-designs of integrated circuits; and undisclosed information including trade secrets and test data. 64 In addition the TRIPS Agreement provides for certain basic principles, such as national and most-favoured-nation treatment, and some general rules to ensure that procedural difficulties in acquiring or maintaining IPRs do not nullify the substantive benefits that should flow from the Agreement. 31 effective and adequate protection of intellectual property rights, and ensure that measures and procedures to enforce intellectual property rights do not themselves become barriers to legitimate trade.65 Critics argue that the current TRIPS provisions may impede both innovation and knowledge diffusion,66 and that they “… commit countries to enforce the patents issued by other countries without any safeguards that…[those other]… countries are taking appropriate steps to guard against the issue of patents covering prior art, or trivial patents covering no art at all.”67 Recent efforts by developed countries to push developing countries beyond their TRIPS commitments through so-called TRIPS-Plus measures included in a growing number of bilateral and regional free trade agreements have raised concerns in developing countries, articulated especially forcefully by India and China. These concerns culminated when a group of mostly developed countries, led by the US and the EU, signed the controversial Anti-Counterfeiting Trade Agreement (ACTA) in October 2011.68 Overall, by introducing intellectual property law into the international trading system, the TRIPS agreement and TRIP-plus measures have fundamentally redefined the scope for national industrial and innovation policies. Of similar impact is a “plurilateral” WTO agreement, the Government Procurement Agreement (GPA). As a “plurilateral” agreement the GPA is limited to the WTO Members that specifically signed it or that have subsequently acceded to it. Its present version was negotiated in parallel with the Uruguay Round in 1994, and entered into force on 1 January 1996. On 15 December 2011, negotiators agreed to re-negotiate the Agreement, a political decision confirmed formally on 30 March 2012.69 The agreement applies to all procurements for commodities, goods and services if the maximum potential value of the contract will be in excess of US$552,000.70 The US government is an important driving force behind the GPA. According to the US Trade Representative, “the United States strongly encourages all WTO Members to participate in this important agreement.”71 According to a document released by the Government of Massachusetts, the WTO-GPA “…has been the United States’ most effective negotiating tool for opening up 65 WTO, 2012, A Handbook on the WTO TRIPS Agreement, WTO and Cambridge University Press, http://www.wto.org/english/res_e/publications_e/handbook_wtotripsag12_e.htm 66 Henry, C. and Stiglitz, J. (2010), “Intellectual Property, Dissemination of Innovation and Sustainable Development”, Journal of Global Policy, 1: 237-51. 67 Curtis, J.M., 2013, “Trade and Innovation: Challenges and Policy Options”, Background paper, Expert Group Meeting, ICTSD, Geneva, June 6-7 68 According to Wikipedia, the Anti-Counterfeiting Trade Agreement (ACTA) “…is a multinational treaty for the purpose of establishing international standards for intellectual property rights enforcement. The agreement aims to establish an international legal framework for targeting counterfeit goods, generic medicines and copyright infringement on the Internet, and would create a new governing body outside existing forums, such as the World Trade Organization, the World Intellectual Property Organization, or the United Nations.” The agreement was signed in October 2011 by Australia, Canada, Japan, Morocco, New Zealand, Singapore, South Korea, and the United States. In 2012, Mexico, the EU, and 22 countries which are member states of the EU signed as well. 69 http://www.wto.org/english/tratop_e/gproc_e/gp_gpa_e.htm 70 Construction services procurements in excess of $7,777,000 (US) are also subject to the WTO/GPA. This threshold changes every two years. The next expected change will be in January 2014. 71 http://www.ustr.gov/trade-topics/government-procurement/wto-government-procurement-agreement 32 opportunities for U.S. suppliers to compete for foreign government contracts on a non- discriminatory basis. To date, the GPA has given U.S. firms and their workers access to overseas procurement markets estimated to be worth more than US$200 billion annually.”72 India is an observer to the GPA since February 2010. It is noteworthy that India does not have a central law on the subject of government procurement, despite the fact that government procurement accounts for 25 to 30 percent of India’s GDP. As highlighted in the September 2011 Report of the Committee on Public Procurement, appointed by the Government of India, …[a]t present, public procurement in India is governed by administrative rules and procedures which only attract departmental action in case of violation. These rules do not create any rights in favour of the public in general, and the potential suppliers, in particular. Nor do they provide for a fair and effective mechanism for dispute resolution, thus virtually denying any recourse against unfair and arbitrary decisions of the procuring entities. Another limitation of this arrangement is the absence of penal consequences for misrepresentation, cheating or fraud in public procurement, except under the normal penal codes which are inadequate for dealing with complex procurement matters […] Malpractices in procurement do not often carry any deterrent consequences and the associated lack of accountability enhances the potential for corruption. Departmental action against erring officials is rare, if not absent. Suppliers affected by malpractices have no recourse except through civil courts that are unable to offer any timely relief. As a result, public procurement does not inspire much public confidence.73 The Government seems to be intent to use membership in the WTO-GPA as a catalyst for pushing through against vested interests the reforms outlined in the Public Procurement Bill.74 However, a concern is that the revision of the text of the GPA in March 2012 is “…watering down a number of flexibilities available to developing countries under the GPA 1994 by making Special & Differential Treatment (S&DT) to developing countries, which was already a subject matter of negotiations in the GPA 1994, subject to more conditionalities and available only as transitional measures.”75 3.2. The Information Technology Agreement (ITA)76 For India’s electronics industry, and for the National Policy on Electronics, the single most important plurilateral trade agreement is the Information Technology Agreement (ITA). India 72 The Office of the Governor of Massachusetts, 2012, The World Trade Organization/Government Procurement Agreement, Frequently Asked Questions, http://www.mass.gov/anf/docs/osd/pic/wtogpa-faqs-2012.doc 73 Planning Commission/Government of India, 2011, The Public Procurement Bill 2011, http://planningcommission.nic.in/reports/genrep/public_pro_bill.pdf: pages XIII and XIV. Ibid, Preface; page VI. For a detailed analysis and policy recommendations, see CUTS International, 2012, Government Procurement in India. Domestic Regulations and Trade Prospects, published with the support of the British High Commission in New Delhi, October: 434 pages 74 The Public Procurement Bill, 2012 Procurement Policy Division, Department of Expenditure Ministry of Finance, Government of India, http://www.cci.gov.in/images/media/presentations/MeenaAggarwal10Oct2012.pdf 75 CUTS International 2012: p. 34. 76 For more recent developments, and a comparison of the contrasting experiences of India and China, see Ernst, D., 2014, The Information Technology Agreement, Industrial Development and Innovation - India’s and China’s Diverse Experiences, at http://e15initiative.org/trade-and-innovation-2/ 33 signed the ITA in 1997, one of the first among developing countries. The rationale was to attract inward FDI and facilitate the growth of its then nascent IT services industry. ITA participation was also viewed as an important catalyst for extending further India’s liberalization drive. ITA participation and the resultant price reduction for information technology imports did indeed facilitate the expansion of India’s IT services industry. At the same time, however, India’s participation in ITA has acted as an important barrier to the development of India’s domestic electronics manufacturing industry. This is a trade-off, which may or may not have been worthwhile, but a trade-off nonetheless. The ITA went into effect in April 1997 with 29 WTO member countries. Unlike other plurilateral agreements, ITA provides “most favored nation” (MFN) treatment to all WTO members, even if those countries have not joined the ITA. Today, ITA has 78 WTO members - 36 members are non- OECD member countries, and 35 of those are developing countries.77 The latter include countries that are already significant players in the electronics industry (i.e. China, Taiwan, Malaysia, Thailand and Vietnam), while other countries, such as India, Egypt, Indonesia, Philippines, and Turkey have the potential to become players.78 In its current form, ITA provides for zero tariffs for 217 electronics products.79 The main product groups covered are computers, semiconductors, semiconductor manufacturing and test equipment, telecommunications equipment, software, and scientific instruments.80 ITA-1 has enabled a substantial increase in the trade of electronics products it covers. “Aggressive tariff liberalization facilitated growth in ITA trade from US$1.2 trillion to US$4.0 trillion … [in 2010].”81 Unlike some other plurilateral trade agreements, such as the WTO-GPA, which allows exceptions by way of offsets (e.g. defence offsets), the ITA does not allow any exception to the covered products. The only relaxation is to identify certain specified products as sensitive so that they qualify for a phased-in implementation period. India has requested and received such an extension.82 Current negotiations to expand the product coverage, in an “ITA-2” are focused on product groups that are of particular interest to developed world companies, such as multi-component integrated 77 For a list of participants and their date of participation, see WTO, 2012, 15 Years of the Information Technology Agreement. Trade, Innovation and Global Production Networks, World Trade Organization, Geneva: p.107 78 WTO members that have not joined the ITA include Mexico, Brazil, Tunisia, South Africa, Argentina and Chile. Of those countries, only Mexico is one of the leading exporters and importers of electronics products. Its government however has decided that non-membership better fits its economic interest. 79 Soon after ITA became effective in April 1997, participants commenced a schedule of phased duty reductions, with all duties slated for elimination by 2000. 80 Not covered were mainly consumer electronics products, including CRT TV sets, video cameras and photocopiers. For ITA-1 product lists, see http://www.wto.org/english/tratop_e/inftec_e/symp_march07_e/richtering_e.ppt#309,12,ITA Products and HS 2007 81 Anderson, M. and J. Mohs, 2010, “The Information Technology Agreement: An Assessment of World Trade in Information Technology Products”, Journal of International Commerce and Economics, U.S. International Trade Commission, http://www.usitc.gov/publications/332/journals/info_tech_agreement.pdf: p.41. 82 India and several other developing countries, including Costa Rica, Indonesia, South Korea, and Taiwan, implemented extended duty staging to 2005 on a product-by-product basis as permitted in the ITA Declaration. 34 circuits (MCOs),83 medical devices, relay and industrial control equipment, optical media, and loudspeakers and handsets.84 Opinions differ on the distribution of trade liberalization gains from ITA. A widely held perception in the US is that “developing countries” benefited most from trade liberalization through ITA. For example, Ezell argues that trade liberalization through ITA is likely to: …benefit developing countries in three principal ways: 1) reducing tariffs on a broader range of ICT products encourages greater adoption of ICT products that play a key role in spurring economic growth; 2) lower prices realized by reducing tariffs on ICTs increases the productivity of all other industries in a developing economy; and 3) by lowering the price of a key input, the ITA has undergirded development of the burgeoning ICT software and services industries in many developing countries such as India, Indonesia, Malaysia, and the Philippines.85 However, the evidence provided to support this proposition is unconvincing to many. The argument neglects fundamental differences among ITA participants in the stage of development, in economic institutions, and in their resources and capabilities for manufacturing and innovation. Due to these structural differences, ITA participants differ in their capacity to reap these theoretical gains from trade liberalization. Furthermore, as stated by the US International Trade Commission (USITC) itself, “…[t]he paucity of conclusive research on the impact of the ITA on global trade attests to the difficulties in empirically measuring the effects of the ITA and signals that … considerable discussion and analysis are still needed to determine the magnitude of the ITA’s impact on IT trade and technology diffusion.”86 Closer to reality are industry insiders and US officials who have openly argued that leading US MNCs “benefit disproportionally” from ITA- enabled trade liberalization.87 There is also some evidence that for leading US vendors of ICT products, ITA provided significant benefits in terms of growing exports and expanding global production networks. 83 According to the Government/Authorities Meeting on Semiconductors (GAMS), Multi-component integrated circuits (MCOs) are a combination of one or more monolithic, hybrid, and/or multi-chip integrated circuits. The main industry product types that would likely include MCOs are system-on-a-chip (SoC), package-on package (PoP), and system-in-package devices. See National Research Council, 2012, The New Global Ecosystem in Advanced Computing. Implications for U.S. Competitiveness and National Security , The National Academies Press, Washington, D.C. 84 For a detailed analysis of an expanded draft product list for ITA-2, see United States International Trade Commission, The Information Technology Agreement. Advice and Information on the Proposed Expansion: Part 1, http://www.usitc.gov/publications/332/pub4355.pdf : and United States International Trade Commission, The Information Technology Agreement. Advice and Information on the Proposed Expansion: Part 2 : http://www.usitc.gov/publications/332/pub4382.pdf Implications for India of current negotiations about an expansion of ITA will be discussed below. 85 Ezell, S.J., 2012, Boosting Exports, Jobs and Economic Growth by Expanding the ITA , The Information Technology and Innovation Foundation (ITIF), Washington, D.C., March: pages 8 and 9. 86 Anderson and Mohs: 2010: p.41. 87 Quote from presentation by Greg Slater, Intel’s director for Trade and Competition Policy, at an event, hosted by the Information Technology and Innovation Foundation (ITIF) on Boosting Exports, Jobs and Economic Growth by Expanding the ITA, Washington, D.C., March 15, 2013, http://www.itif.org/files/2012-ita-slater.pdf At the same event, Miriam E. Sapiro, Deputy USTR, argued that “ITA has been one of the most successful agreements ever undertaken in the multilateral trading system”, as it has boosted US information technology exports. http://www.itif.org/files/2012-sapiro-comments-ita.pdf 35 Take semiconductors, an important product covered by ITA-1. While prior to 1997, the US share in the worldwide market for semiconductors hovered around 40 percent, since the signature of the ITA agreement, the US share has moved up to around 50 percent.88 From 2005 to 2009, semiconductors constituted the number one product export from the US on an aggregate basis, with exports totaling US$48 billion (US$10 billion more than automobile exports, in second place).89 In 2011, US semiconductor producers had global sales of US$152 billion, representing over one-half of the global semiconductor market.90 As for the impact on global production networks, the same research by the USITC finds that ITA- 1 boosted FDI by MNCs in China which “had a major role in China’s accelerating ITA exports, as multinational corporations sought to reduce costs by directly adding capacity in China. Once China joined the WTO, products exported from China were guaranteed MFN access to other countries, providing strong incentives for multinational corporations to establish production and assembly operations in China.”91 It is important to emphasize that India joined ITA from a position of weakness. The country was heavily reliant on electronics imports, but had a weak domestic electronics industry, which had only recently been liberalized. Moreover, India volunteered some of the largest tariff concessions of any signatory. The largest tariff concessions to ITA, based on pre-ITA bound rates, were by India (66.4 percent), far ahead of Thailand (30.9 percent), and Turkey (24.9 percent). India also stood out in average applied- tariff reductions, where India’s tariffs were reduced from a pre-ITA level of 36.3 percent, compared to China where average applied-tariff reductions started from a level of 12.7 percent.92 Overall, an industry weaker and more recently liberalized than any of its competitors was subject to a larger shock than any of its competitors. This contrasts with China’s approach. It joined the ITA only in 2003, six years after India. In contrast to India, China entered the ITA from a position of strength. “China was … [already]…a leading manufacturer and trader of IT products prior to joining the ITA and deeply engaged in the global IT production chain even before tariff liberalization.”93 When China joined the ITA in 2003, its per capita GDP (US$1,270) was three times higher than that of India’s 1997 per capita GDP (US$427).94 By 2003, when China entered the ITA, it was already the third largest exporter and the fourth largest importer of ITA products. In 2004, China expanded its market share, becoming the world’s largest exporter of ITA products. In 2005, China surpassed both the EU and the US to 88 Slide 5 in http://www.aaas.org/spp/rd/presentations/20110218PatrickWilson.pdf. Market share based on headquarters of seller. 89 Semiconductor Industry Association, “Doubling Semiconductor Exports Over the Next Five Years,” (analysis, SIA, San Jose, CA, June 17, 2010), http://www.sia-online.org/clientuploads/directory/ DocumentSIA/ Export/Doubling_Exports_Paper_0610.pdf 90 USITC, hearing transcript, November 8, 2012, 39,41 (testimony of Ian Steff, Semiconductor Industry Association) 91 Anderson and Mohs, 2010: p.17. 92 Anderson and Mohs, 2012: p.4. 93 Ibid: p.7. 94 http://search.worldbank.org/data?qterm=India%20per%20capite%20GDP%20for%201997&language=EN 36 become the largest country in terms of overall ITA trade.95 Moreover, as China is far ahead in its electronics manufacturing industry, India is now an easy target for low-cost electronics imports from there. Some observers fear that, with the influx of such imports into the domestic markets, due to the zero tariff under the ITA, “…the existing domestic producers may become domestic assemblers and traders in the IT products.”96 The result in the last decade has been an acceleration of ITA imports in India. In 2000, 96 product lines were reduced to zero tariff, and in 2005, 121 product lines were reduced to zero tariff. While between 1997 and 2000, the growth rate of India’s ITA imports was 18 percent annually, their growth rate increased to nearly 38 percent between 2001 and 2005.97 Data from the Directorate General of Foreign Trade (DGFT) show that India’s electronics imports under chapter 85 have grown faster than India’s electronics consumption.98 The import content of the raw material consumption of India’s electronics industry has increased over the last 7 years from 50 percent to 56 percent.99 More recently, between FY2010-11 and FY2012-13, India’s imports have grown especially fast for integrated circuits (82%), the second largest electronics import category. India’s imports also grew very fast for some electronic components like capacitors (36%), rectifiers and inductors (38%), and for consumer-related products like video recoders and monitors (81%).100 Needless to say, trade deficits are not in principle negative for economic growth. In fact empirical research points to the importance of imports in boosting productivity.101 Yet in India’s case, local value added of electronics manufacturing is only around 7 percent, while electronics imports account for almost two thirds of consumption. Until there is a more substantial base of domestic production to benefit from such spillovers, the positive productivity effects of rising imports appear unlikely. Finally, the growing use of technical barriers to trade (TBTs) and non-tariff barriers (NTBs) have further mitigated any positive effects of ITA-induced tariff reductions in target markets. The US, the EU and Japan appear to be the main drivers behind the surge in NTBs and TBTs. Of the total of 456 TBT notifications from 1995 to 2000 by all WTO members, developed countries have submitted 356 notifications, i.e. 78 percent of the total.102 There are few effective governance mechanisms in place to ensure that the surge of NTBs and TBTs does not constrain access of Indian companies to the markets in the US, the EU and Japan. Moreover, these barriers require sophisticated institutions and governance capabilities, in 95 Ibid: p.17. 96 Kallummal, 2012: p. 15 97 Kallummal, M., 2012, Process of Trade Liberalisation under the Information Technology Agreement (ITA): The Indian Experience, Centre for WTO Studies (CWS) Working paper 200/3, Indian Institute of Foreign Trade, New Delhi: page 15. 98 HS code 85 covers electrical machinery and equipment and parts thereof; sound recorders and reproducers, television image and sound recorders and reproducers, and parts. 99 Kallummal, 2012: figure 19, page 36. 100 For details, see DGFT website Import Chapter 85 - 2011-....pdf 101 OECD, 2010, How Imports Improve Productivity and Competitiveness, OECD, Paris, http://www.oecd.org/trade/45293596.pdf 102 Kallumal, 2012: pages 17 ff. 37 particular in the area of setting standards (discussed further below), creating an uneven playing field for countries that are still building such capabilities. If this analysis is correct, this would imply that for developing countries like India, the gains from trade liberalization through ITA may well be nullified through the surge of NTBs and TBTs in developed countries. In fact, India faces a double bind: While tariff reductions have led to a sharp decline in investments in domestic electronics manufacturing, exports from India face substantial TBTs and NTBs in the US, the EU and Japan.103 In short, participation in the ITA and the resultant inverted tariff structure appears to have had a negative impact on India’s electronics manufacturing industry, one that must be taken into account in any policy process. During 2013, ITA members were negotiating in Geneva a possible substantial expansion of the list of products covered by ITA. Before the first round in July, the Indian government decided not to join these negotiations.104 The Indian Government argues that a small group of developed country signatories of the ITA, led by the US, the EU and Japan have designed an expanded list with a focus on products where these countries, and especially the US, continue to lead by a wide margin. An alternative strategy for India has been proposed by the Associated Chambers of Commerce and Industry of India (ASSOCHAM).105 The Chamber argues that merely resisting the ITA expansion is unlikely to have positive effects for India’s electronics industry. Instead, the Indian government should engage in a smart strategy of co-shaping the consolidated product list of ITA-2: “India needs to address the ITA expansion, weighing carefully its long-term as well as short-term objectives in a strategic manner rather than becoming overly influenced by ad hoc approaches and concerns.”106 In this view, non-participation in ITA-2 negotiations comes at a heavy cost. Not only would India lose the option of co-shaping the contents of the new expanded ITA product list. Non- participation might also discourage international investors to expand their presence in India, and it might act as a disincentive for existing FDI manufacturing projects to expand and upgrade their facilities. A close look at China’s approach to the 2013 ITA-2 negotiations however raises doubts whether India would have had realistic chances to co-shape the expanded ITA-2 product list. China 103 Jauhri, A., 2013,Implementing TB T Agreement. Indian Experience, presentation at the National Workshop On The WTO Agreement on Technical Barriers To Trade, Centre for WTO Studies, Indian Institute of Foreign Trade, New Delhi, April 4-5. 104 According to the Commerce and Industry Ministry, “India’s experience with the ITA-1 has not been encouraging as it has almost wiped out the IT industry from India. After examining the matter in consultation with the nodal Ministry i.e. Department of Electronics and Information Technology and other stakeholders, it has been decided, for the present, not to join the negotiations as it will not be in our national interest.” Quoted in “India to skip talks on expanding ITA scope”, The Hindu, March 13, 2013. 105 ASSOCHAM National WTO Council, Observations on ‘Expanding the Information Technology Agreement’, based on the deliberations at the roundtable held on 20th December 2012, New Delhi, http://view.officeapps.live.com/op/view.aspx?src=http%3A%2F%2Fwww.assocham.org%2Fdocs%2FITA- Roundtable-Observation-Paper_13.2.13.doc 106 ASSOCHAM National WTO Council: p.8. 38 apparently was trying to implement such a co-shaping negotiation strategy, yet the result was not encouraging. Before the July meeting, China presented a list of sensitive products that called for the removal of 106 products rather than asking for an extended implementation period. Under pressure to shorten this list, China on July 17 reduced the list of sensitive products that it wanted not to be part of the ITA-2 to around 90. However, China’s revised list of sensitive products continued to include two product groups that are among the US priorities for ITA-2: MCOs107 and medical devices.108 The following quote from a detailed report in the newsletter Inside U.S. Trade nicely summarizes the ITA core group’s response to the China’s revised sensitivities list: …[T]he Canadian mission -- which was organizing the meetings in Geneva -- sent out a notice stating that talks previously scheduled for July 18 would not take place, on the basis of the earlier agreement at the ambassador level that talks could not advance without China producing a more “credible” list. … Exactly what constitutes a credible list is something that no member has clearly defined, sources said. But one source said that the chief drivers of the ITA expansion initiative -- the U.S., Japan and the EU -- are clearly targeting a total expansion including about 200 items. That would require China to at least halve its current list of sensitivities. China was not supportive of suspending the negotiations, and it is unclear whether it will really be able to back off its initial position to that extent. But while some sources charged that China's long list indicated a lack of coordinated domestic consultation … -- others said Beijing… has industrial policy goals in mind….109 At present, India’s absence hardly matters, given its insignificant role in the global electronics industry.110 Longer-term, however, staying at the sidelines is not an option for India. As argued in Chapter Four of this study, India needs to find a way to co-shape the future architecture of the ITA. If ITA-2 would indeed broaden the product list to include MCOs and medical equipment, this will most likely close any realistic chances for India to develop significant domestic manufacturing capabilities in these subsectors. An additional aspect of China’s ITA-2 negotiation approach that 107 MCOs are used in a wide variety of products, including smart phones, tablets, medical devices, household appliances, and car parts such as braking, steering and air bag systems. MCOs thus can be classified under a wide range of HS subheadings. As a result, no one really knows for sure how important MCOs are for US exports. US- ITC estimates that in 2011, sales of MCOs accounted for between 1.5 and 3.0% of global semiconductor sales, or an estimated $1.2 to $2.4 billion. 108 A report of the US International Trade Commission for the US Trade Representative (USTR) identified five priority subsectors of the consolidated draft product list for ITA-2: medical devices; relay and industrial control equipment; optical media, including LED; loudspeakers and handsets; and, most importantly multicomponent integrated circuits (MCOs). US-ITC selected these subsectors to illustrate “the potential for increased market access opportunities for USA firms as a result of ITA expansion.” ( United States International Trade Commission, The Information Technology Agreement. Advice and Information on the Proposed Expansion: Part 2: page VI) http://www.usitc.gov/publications/332/pub4382.pdf . 109 “U.S., Others Suspend ITA Talks to Pressure China to Soften its Stance”, Inside US Trade, July 29, 2013: page 2. 110 A particularly telling sign is that India does not even show up in McKinsey’s list of top -ten countries in the global value-added electronics industry, http://www.slideshare.net/morellimarc/mckinsey-manufacturing-future- 2013-22958651 By contrast, ITA-2 without China would be an oxymoron. Not only is China the world biggest smartphone market, but it is also by far the most important market for US semiconductor firms. As John Neuffer, senior vice-president of global policy at the Information Technology Industry Council (ITIC) points out: ““China has got to be part of this. They are too big a player. You can’t have an outcome without the Chinese.” Donnan, S., 2013, “Negotiators nervously eye China’s resistance in IT trade talks”, Financial Times, November 19, http://www.ft.com/intl/cms/s/0/9456096e-5112-11e3-b499-00144feabdc0.html#axzz2srbBkrjM 39 is of interest to India’s National Policy on Electronics is that “China also listed some products already covered by the ITA such as printers and monitors, which has confused other negotiators.”111 These are in fact product groups, where India has some, albeit limited production capacity. 3.3. Regional and Mega-Regional Trade Agreements The inverted tariff impact of ITA is further amplified by various Free Trade Agreements (FTAs) and Preferential Trade Arrangements (PTAs)112 signed by India.113 One of the most significant is the ASEAN-India Free Trade Agreement, expected to eliminate tariffs for about 4,000 products (which include electronics, chemicals, machinery and textiles), with 80 percent to be reduced by December 2013, with the remaining 20 percent by December 2016.114 The impact of this agreement is already being felt for “electrical and electronic equipment” (a proxy for the electronics industry).115 As research on the sectoral impact of the FTA states, [T]here are hardly any immediate benefits for Indian producers as average percentage tariff drops in Malaysia, Indonesia and Thailand’s Normal Track products are much lower than India’s. Further, the ASEAN-5 economies are leading exporters of light manufacturing products…[including electronics]…. India will also be competing with China and South Korea in the ASEAN market, which already have FTAs with ASEAN. Thus Indian SMEs will find it difficult to hold their own against these countries in such sectors.116 As long as India lacks a vibrant domestic electronics industry, India’s gains from the ASEAN- India FTA will thus be limited to its IT services industry (which by some reports is in any case being out-competed by the same industry in the Philippines). Longer term, an important challenge may result from agreements India does not sign, but which affect its potential market. These are the emergence of so-called “mega-regionals”, i.e. “by- invitation-only” arrangements, such as the Trans-Pacific Partnership Agreement (TPP) and the Transatlantic Trade and Investment Agreement (TTIA). Some observers are concerned that “developing countries will be excluded from market share in the signatory regions. Also, since these mega-regionals are being negotiated outside the scope of the multilateral trading system, 111 John Neuffer, SVP for global policy at the Washington, D.C. based Information Technology Industry Council, quoted in “U.S., Others Suspend ITA Talks to Pressure China to Soften its Stance”, Inside US Trade, July 29, 2013: page 2. 112 The WTO defines FTA as "agreements among two or more parties in which reciprocal preferences (whether or not reaching complete free trade) are exchanged to cover a large spectrum of the parties trade". Customs unions on the other hand, are PTAs "with a common external tariff in addition to the exchange of trade preferences". Both forms of PTAs can be either bilateral (two parties) or plurilateral, that is, involving three or more countries. 113 For a list of India’s FTAs and PTAs, see “India’s Free Trade Agreements”, April 27, 2011, at http://www.india- briefing.com/news/indias-free-trade-agreements-4810.html/ . For an analysis of the impact of ITA-1 on India, see http://wtocentre.iift.ac.in/workingpaper/Working%20Paper3.pdf 114 Deloitte-FICCI, 2011, India ASEAN Free Trade Agreement. Implications for India’s Economy , http://www.deloitte.com/assets/Dcom-India/Local%20Assets/Documents/India_ASEAN_FTA.pdf : p.61. 115 Francis, S., 2011, “A Sectoral Impact Analysis of the ASEAN-India Free Trade Agreement”, Economic and Political Weekly, January 8, Vol. XLVI No.2: table 6: India’s Tariff Reduction Scenario in Major Sectors Involved in Two-Way Trade with ASEAN, p.52 116 Francis, 2011: p.54. 40 developing countries are prevented from negotiating the rules that will set standards for the trading system as a whole.”117 The Government of India as well as those of China and Brazil have expressed concern that, unlike in multilateral negotiations, the US has more political and economic leverage over other parties in the TPP negotiations. This is a particular cause for concern with regard to intellectual property (IP) provisions. Recent research indicates that IP provisions proposed for the TPP are likely to be even more restrictive than those in the Anti-Counterfeiting Trade Agreement.118 Perhaps the primary criticism of the TPP is that it sets US intellectual property laws as the “norm” for all members. The TPP patent provision has been criticized primarily for its impacts on pharmaceuticals and medical devices, but will likely also impact software and other information and communication technologies. “In particular, the TPP removes the requirement that an inventor disclose the “best mode” of the invention, thus creating the possibility of inventors “retaining the best for [themselves].”119 Other observers highlight possible negative implications for developing countries, as these countries by definition do not have developed countries’ institutional capabilities or standards. As noted above, this reduces the theoretical level playing field that motivates the advocates of such agreements to, in practice, a highly uneven one in which superior standards-setting and other governance capabilities can provide substantial advantages. Another structural feature of the electronics industry, which makes it depart in important ways from theoretical models of gains from trade, is that it is not freely competitive, but oligopolistic in almost all its segments. 4. Global Oligopolies and Entry Barriers Global oligopolies have proliferated recently in high-tech industries. A well-known example is the aerospace industry: Two companies dominate the manufacture of large commercial aircrafts,120 three suppliers dominate the market for jet engines, while brakes have two dominant suppliers, and tires have three. The same holds for the global electronics industry. A limited number of MNCs dominate in important market segments, with the result that latecomers like Indian firms are confronted with substantial entry barriers. Support policies for the development of India’s 117 Draper, P. and M. Dube, 2013, Plurilaterals and the Multilateral Trading System. An Issue Brief for the ICSTD Expert Group on Preferential Trade Agreements, ICSTD and IDB, Geneva 118 For instance, TPP-2 Art. 8.6 of a leaked US TPP Proposal for an IP Chapter would require TPP members to grant extensions of patent terms beyond the TRIPS 20-year minimum patent term to compensate both for delays in patenting and in granting marketing approval. Patent term extensions delay the introduction of generic products into a market, maintaining monopoly protections and higher prices during the extension. (Flynn, S. et al, 2011, Public Interest Analysis of the US TPP Proposal for an IP Chapter, Program on Information Justice and Intellectual Property, American University Washington College of Law, December 6, chapter V. Patent and Data-Related rights). The leaked US TPP Proposal can be accessed at http://keionline.org/sites/default/files/tpp-10feb2011-us- text-ipr-chapter.pdf 119 http://en.wikipedia.org/wiki/Trans-Pacific_Partnership_Intellectual_Property_Provisions#cite_note-172 120 In 2012 the top two industry suppliers (Boeing and Airbus) were estimated to account for over 90% of all industry revenue. See Hepher, T. and C. Altmeyer, 2013,“Boeing overtakes Airbus in annual sales race”, January 17, http://www.reuters.com/article/2013/01/17/us-airbus-orders-idUSBRE90G0CF20130117 41 electronics manufacturing industry therefore need to be informed by a deep understanding of these oligopolies and the entry barriers they create. 4.1. Technology-centered competition is intensifying The electronics industry is unrivalled in its degree of globalization. A defining characteristic is that competition is centered on the increasingly demanding performance features for electronic systems. Tablets, laptops, smart phones, or mobile base stations all need to become lighter, thinner, shorter, smaller, faster, and cheaper, as well as adding more functions and using less power. To cope with these demanding performance requirements, engineers have pushed modular design and system integration, with the result that major building blocks of a mobile handset are now integrated on a chip.121 Design teams must cope with this accelerating pace of change. Essential performance features are expected to double every two years, time to market is critical, and product life cycles are rapidly shrinking to a few months. Only those companies thrive that succeed in bringing new products to the relevant markets ahead of their competitors. The root cause of these increasingly demanding requirements is the emergence of a “winner-takes- all” competition model, first described by Intel’s Andy Grove.122 In the fast moving electronics industry, success or failure is defined by speed to market and return on investment, and every business function, including R&D, is measured by these criteria. The examples of Samsung Electronics and Apple illustrate to what degree extreme performance has come to dominate investors’ decisions. Despite an estimated record 47 percent year-on-year rise of earnings (to almost US$10 billion per quarter), Samsung Electronics at one stage had lost 17 percent of its market capitalization since the beginning of 2013, as this rise fell short of analysts’ expectations.123 Apple’s shares have fallen 40 percent from a peak in September 2012, despite the iPhone5 breaking its unit sales records.124 Even global leaders like Samsung and Apple are finding that even record results are insufficient to satisfy investors who expect still faster growth. The result is intense price rivalry among industry leaders, which is further fuelled by the growing threat from lower-cost Chinese brands. To prevail, industry leaders must use their technological superiority to cut costs even further and to erect new barriers to entry. Intensifying price competition thus combines with intensifying technology-centered competition. 121 For an economic analysis of the impact of these new chip design methodologies, see Ernst, D., 2005, “Complexity and Internationalization of Innovation: Why is Chip Design Moving to Asia?", International Journal of Innovation Management, 9 (1): 47-73 122 Grove, A. S. 1996. Only the Paranoid Survive: How to Exploit the Crisis Points that Challenge Every Company and Career. New York and London: Harper Collins Business. 123 The downgrading reflects slower than expected sales of Samsung’s flagship Galaxy S4 smartphone and declining operating margins at its mobile devices business as growth in the high-end smartphone market nears saturation. 124 “Apple shares dip on report it has cut iPhone production”, The Washington Post, July 9, 2013, http://articles.washingtonpost.com/2013-07-09/business/40460008_1_apple-shares-samsung-and-apple-premium- smartphone-market 42 4.2. The spread of global production and innovation networks This has provoked fundamental changes in business organizations. To mobilize all the diverse resources, capabilities, and repositories of knowledge on time and at lowest cost, global corporations have responded with a progressive modularization of all stages of the value chain and its dispersion across boundaries of firms, countries, and sectors through multi-layered corporate networks of production and innovation.125 The complexity of these global networks is difficult to fathom. According to Peter Marsh, the Financial Times’ manufacturing editor, “…[e]very day 30m tones of materials valued at roughly $80 billion are shifted around the world in the process of creating some 1 billion types of finished products.”126 While the proliferation of global production networks goes back to the late 1970s, a more recent development is the rapid expansion of global innovation networks (GINs), driven by the relentless slicing and dicing of engineering, product development, and research.127 Empirical research documents that this has further increased the complexity of global corporate networks. GINs now involve multiple actors and firms that differ substantially in size, business model, market power, and nationality of ownership, giving rise to a variety of networking strategies and network architectures.128 The flagship companies that control key resources and core technologies, and hence shape these networks, are still overwhelmingly from the US, the EU, and Japan. However, there are also now network flagships from emerging economies, especially from Korea, Taiwan, and more recently from China. As the most prominent example, Samsung Electronics today has eight Regional Headquarters from North America to Southwest Asia; and its production network covers nine plants in Korea plus 27 across Asia, Europe and North America. Taiwan’s Foxconn has 13 factories (or rather gigantic factory cities) in China, and a growing number of factories in Japan, Malaysia, Brazil, Mexico, as well as in Hungary, Slovakia, and the Czech Republic. Huawei’s global innovation network now includes, in addition to six R&D centers in China, five major overseas R&D centers in the US, Sweden, Russia, and the UK (as part of British Telecom’s list of eight preferred suppliers for the overhaul of its fixed-line phone network).129 125 Ernst, D., 2003. “Digital Information Systems and Global Flagship Networks: How Mobile Is Knowledge in the Global Network Economy?” in: The Industrial Dynamics of the New Digital Economy, ed. J. F. Christensen. Cheltenham, UK: Edward Elgar. 126 P. Marsh, “Marvel of the World Brings Both Benefit and Risk,” Financial Times, June 11, 2010, 7. For a detailed case study of the multi-layered global production networks in Asia’s electronics industry, see Ernst, D., 2004, “Global Production Networks in East Asia’s Electronics Industry and Upgrading Perspectives in Malaysia” in: Global Production Networking and Technological Change in East Asia, eds. Shahid Yusuf, M. Anjum Altaf and Kaoru Nabeshima, The World Bank and Oxford University Press. 127 Ernst, D., 2007, “Innovation Offshoring: Root Causes of Asia’s Rise and Policy Implications” , in: Multinational Corporations and The Emerging Network Economy in the Pacific Rim, ed. Juan Palacio. London: Routledge. 128 Ernst, D., 2009, A New Geography of Knowledge in the Electronics Industry? Asia’s Role in Global Innovation Networks. Policy Studies No. 54, August, East-West Center, Honolulu, HI. 129 The choice of these locations reflects Huawei’s objective to be close to major global centers of excellence and to learn from incumbent industry leaders: Plano, Texas, is one of the leading U.S. telecom clusters centered on Motorola; Kista, Stockholm, plays the same role for Ericsson and, to some degree, Nokia; and the link to British Telecom was Huawei’s entry ticket into the exclusive club of leading global telecom operators. 43 4.3. Late entry into global oligopolies requires extraordinary efforts In economic theory, markets are oligopolies when they are “dominated by a few sellers at least several of which are large enough relative to the total market to be able to influence the market price.”130 While some oligopolies may lead to price distortions, this is not the only effect of oligopolistic market structures. As highlighted by Jospeh Farrell and Carl Shapiro, “...[i]mplicit in the market structure we call ‘oligopoly’ is the presence of some important barriers to entry. Firms that own certain crucial assets are the incumbents, and others are at best potential competitors. These assets might take the form of intellectual property: patent rights to production technology, licenses to use such technology, or industrial know-how.” 131 As the examples of Samsung and Apple show, intense competition may occur among oligopolists. Nevertheless, their ownership of crucial assets allows them to establish barriers to the entry of potential competitors. The nature of these barriers may differ, depending on specific characteristics of the relevant industry sectors and market segments. They may result from pricing, but oligopolists can also establish and raise entry barriers not related to price, drawing on a superior capacity to define technology trajectories, control intellectual property rights and brands, and shape critical technical standards and their enforcement rules. In short, entry barriers that confront latecomers like Indian firms in the electronics manufacturing industry result from a combination of superior assets and capabilities that global oligopolists were able to develop due to their dominant market positions. Price-setting is one such capability, and it is of critical importance in major segments of the electronics industry. But from India’s perspective, it is important to highlight the systemic nature of those entry barriers. Oligopolists can set lower prices, not only because they can source the relevant products from low-cost production sites through their global production and innovation networks, but also because of their control over leading-edge technology and their superior innovation capacity. 132 The concentration data for key segments of the global electronics market, presented below in section 4.4, clearly demonstrate that global oligopolies have been established across the electronics value chain. While late entry is never to be completely excluded, successful entry into those markets would require quite extraordinary efforts by latecomers like India to develop superior business models and new technologies. Both the Indian government and the private sector would need to join forces and develop a decisively longer-term industrial development strategy that combines smart regulatory reform and structural support industries.133 This is possible, and has been done by others (indeed, Samsung was once an entrant). Chinese firms that design integrated circuits for smart phones and tablets provide recent examples. In smart phones, Chinese chip makers, Spreadtrum and RDA, have gained significant market share by undercutting both Taiwan’s MediaTek, a leader in the low and mid-level handset market, and 130 Baumol, W.J. and A.S. Blinder, 1991, Economics. Principles and Policy,5th edition, Harcourt Brace, Jovanovich, San Diego etc: p.596 131 Farrell, J. and C. Shapiro, 1990, “Asset Ownership and Market Structure in Oligopoly”, RAND Journal of Economics 21, summer: page 275. 132 For an economic analysis of innovation capacity, see Ernst, 2009,chapter 2. 133 See Chapter Four of this study. 44 Qualcomm of the US, the dominant chip designer for high-end phones.134 In tablets, Chinese chip design companies, led by Fuzhou Rockchip Electronics and Allwinner, have captured 37 percent of the market for the key processor chips in non-iPad tablets, with their share still rising.135 In the tablet applications processor market, Chinese and Taiwanese tablet chip companies together captured a 29 percent share of volume in the first quarter of 2013. While Apple and Samsung together still command a 50 percent global market, thanks to their in-house customers, it is clear nevertheless that the once tight global oligopoly is under pressure. A clear indicator is that the entry of lower-cost Chinese firms has accelerated a significant decline in the average selling prices of tablets from US$522 in the first quarter of 2012 to US$461 in the first quarter of 2013.136 It is important to emphasize however that such successful latecomer entry into a global oligopoly was only possible as a result of China’s extensive long-term industrial development strategy that started far back in the 1990s, with substantial and sustained support policies ranging from cost subsidies to R&D funding. Since then, the semiconductor industry has been one of the priority targets of China’s indigenous innovation policy, including a plan to create a group of globally competitive semiconductor firms that will develop into global leaders in market share, manufacturing excellence and innovation capacity.137 4.4. Evidence – Tight global oligopolies in important electronics market segments Empirical research on global oligopolies has focused on concentration ratios (CR) as a measure of the total output produced in an industry by a given number of firms in the industry. The most common concentration ratios are the CR4 and the CR8, which means the market share of the four and the eight largest firms. Concentration ratios are usually used to show the extent of market control of the largest firms in the industry and to illustrate the degree to which an industry is oligopolistic. According to Blair, oligopoly begins when the four largest firms hold more than 25 percent of overall sales. Between 25 and 50 percent, this oligopoly is loose and unstable, but above 50 percent, it becomes firm and clearly established.138 In the electronics industry, control over platform standards determines who can shape technology trajectories and markets. The PC industry for instance has been dominated by two companies, Microsoft and Intel, which together have tightly controlled Windows, the operating system for most personal computers, and the “Intel Architecture”, the set of rules governing how software interacts with the processor it runs on. More than 80 percent of PCs still run on the “Wintel” platform standard.139 134 Ernst, D. and B. Naughton, 2012, Global Technology Sourcing and China’s Integrated Circuit Design Industry. A Conceptual Framework and Preliminary Research Findings, East-West Center Economics Working Paper # 131, August 2012, http://www.EastWestCenter.org/pubs/33626 135 For instance, the prices of Chinese quad-core chips for tablets are around $8, which is a third of the price for a comparable chip from a first-tier global industry leader like US-based Nvidia (Strategy Analytics, July 2013) 136 Canalys, July 2013 137 For an analysis of some of the inherent drawbacks of these policies, see Ernst, D., 2013, “ Is China's innovation a serious challenge?”, Chemistry & Industry, Volume 77, Issue 6, page 44, June 138 Blair, J.M., 1972, Economic Concentration, Harcourt Brace Jovanovich, New York 139 http://www.economist.com/node/16693547 45 In the first quarter of 2013, the four leading PC vendors have a global market share of 48.1 percent,140 while the five leaders have almost 55 percent.141 More recent data by IDC show an even higher degree of oligopolization142 - the four leading PC firms account for 53.5 percent of the global market, while the five leaders have a 59.6 percent market share. In short, the global PC market today comes close to a firm and well established oligopoly, even as many observers consider the industry decline with the growth of tablets and smartphones. With the decline of the PC relative to mobile devices,143 some observers were predicting a transition to a “multipolar” world, where “the market will be fought over by eight or nine more or less vertically integrated giants.” In this view, Oracle, Cisco and IBM were expected to vie for corporate customers, while Apple and Google will control the markets for individual consumers.144 Instead, we are witnessing the emergence of a new global oligopoly for mobile devices. In 2012, the four leading operating systems accounted for 94 percent of worldwide mobile device shipments, with Google’s Android system alone controlling 68 percent. Projections for 2017 expect an even tighter global oligopoly, with the four leading operating systems accounting for 99 percent of worldwide smart phone shipments.145 In the first quarter of 2013, the four largest smart phone vendors had a global market share of just shy of 60 percent, indicating a firm and well-established oligopoly.146 In fact, the markets for mobile devices are now dominated by a duopoly of two dominant firms, Apple and Samsung which are carving up the majority of profit and a growing portion of sales. Apple has the advantage of completely controlling its hardware and software, and Samsung has the advantage of manufacturing many of its key components. Together, only these two oligopolists have the size and the deep pockets necessary to be able to sell across multiple product lines. An even higher degree of oligopolization can be found in hard disk drives. Some would argue that the HDD is a dying industry as the form of storage is used by struggling PC makers, while tablets and smart phones use solid memory, not disk drives. However, with the dissemination of distributed computing through the “cloud”, huge centralized data centers will need lots of storage, supporting disk drive demand in the long term. In the first quarter of 2013 the largest three companies147 had a market share of almost 100 percent - clearly a tight oligopoly.148 Only ten years ago, the market structure was very different.149 In fact, the HDD industry represents a case of rapid global oligopolization (Figure 3 in Appendix One). 140 HP (14.8), Lenovo (14,7), Dell (11.0), Acer (8.6). 141 Asus (6.8). See table 2 in Appendix One 142 IDC Worldwide Quarterly PC Tracker, July 10, 2013 143 In addition to mobile handsets, this includes tablets, ultra computers and laptops. 144 http://www.economist.com/node/16693547 145 See Appendix table 3 (Worldwide Smart Phone Shipments by OS vendor, 2012-2017) 146 See Appendix, table 4 (Top Five Smart Phone Vendors, Shipments, and Market Share, 2013 Q1) 147 Seagate has 45%, Western Digital 42%, and Toshiba: 13%. 148 Coughlin Associates, Digital Storage Technology Newsletter, May 2013". http://www.tomcoughlin.com/ . Retrieved 2013-07-11. 149 For instance, a widely quoted study used the HDD industry as an example of a highly competitive industry structure (McKendrick, D.G., R.F. Doner and S. Haggard (2000), From Silicon Valley to Singapore: Location and Competitive Advantage in the Hard Disk Drive Industry, Stanford, Cal: Stanford University Press. For an early 46 Of particular interest for India’s efforts to develop its domestic electronics manufacturing industry is the emerging oligopolistic market structure for key market segments of the set-top box (STB) industry. After all, STBs are one of the priority products of India’s National Policy on Electronics.150 This market is dominated by five firms: Pace, Motorola, Technicolor, Scientific Altanta/Cisco, and Humax.151 However, in light of the segmentation of the STB market, it is important to highlight the dominant market positions of leading firms in some of those market segments:  Pace overtook Motorola by a hair in overall STB revenue in the second quarter of 2011, attributed to the fact that it offers solutions across all market segments (telecom, cable, and satellite operators)  Cisco holds a commanding lead in both revenue and units for IP STBs,152 Motorola leads in cable STB revenue, and Skyworth Digital dominates in cable STB unit shipments.153 Finally, in the flat panel market, the four leading firms (Samsung, LG, Sony and TCL) have a combined market share of almost 47 percent, which is still a loose oligopoly.154 However, the two leaders (Samsung and LG) together account for a third of the global market, and their combined share in leading edge Gen 7 and Gen 8 flat panels keeps rising. 4.5. India’s electronics market is as oligopolized as the global industry This global market structure is mirrored in India. According to data collected by the Department of Electronics and Information Technology (DEITy), five important electronics markets in India are dominated by a handful of MNCs (Table 5 in Appendix One). For PCs, four MNCs control 57 percent of the Indian market in the first quarter of 2013.155 This constitutes a tight and established oligopoly. For smartphones, three MNCs account for 65 percent of unit shipments in the first quarter of 2013 in India, with Samsung far in the lead, with a share of 43 percent.156 A few oligopolists also dominate India’s huge and rapidly growing market for telecommunications equipment. In 2011, four companies (Nokia Siemens Networks,157 Ericcsson, Huawei and ZTE) analysis of emerging oligopolization trends in the HDD industry, see Ernst, D., 1997, From Partial to Systemic Globalization. International Production Networks in the Electronics Industry , BRIE Working Paper 98, the Berkeley Roundtable on the International Economy, University of California at Berkeley and the Graduate School of International Relations and Pacific Studies, April; 113 pages. 150 See Chapter Four. 151 http://www.electronics-eetimes.com/en/pace-tops-2009-global-set-top-box-vendor- ranking.html?cmp_id=7&news_id=222902161 152 IP set-top boxes have a built-in home network interface that can be Ethernet or one of the existing wire home networking technologies such as HomePNA or the ITU-T G.hn standard, which provides a way to create a high- speed (up to 1Gbit/s) local area network using existing home wiring (power lines, phone lines, and coaxial cables). (New global standard for fully networked home, ITU-T Press Release), http://www.itu.int/ITU- T/newslog/New+Global+Standard+For+Fully+Networked+Home.aspx 153 http://www.infonetics.com/pr/2011/2Q11-STB-Market-Highlights.asp 154 http://www.eetasia.com/ART_8800672656_480700_NT_88ff203e.HTM 155 Source: IDC, http://www.crn.in/news/hardware/2013/03/01/indian-pc-market-has-grown-idc 156 http://cmrindia.com/more-than-221-million-mobile-handsets-shipped-in-india-during-cy-2012-a-y-o-y-growth- of-20-8-nokia-retains-overall-leadership/ 157 In July 2013, Nokia has acquired the shares of Siemens in the former NSN, and it is unclear whether Nokia has the financial wherewithal to support its presence in the Indian market. 47 accounted for over 90 percent of the Indian market.158 Huawei and ZTE are successfully attacking the others, and together hold a 35 percent share in FY2012.159 Only the market for mobile telecom towers has a substantial Indian presence, with Indus Towers leading with 32 percent, followed by BSNL (15%), Reliance Infratel (15%), Viom Networks (11%), Bharti Infratel (10%), and GTL Infrastructure (10%).160 These telecom tower companies however are essentially assemblers (or engineering, procurement and construction companies), which acquire a piece of land, erect towers (through vendors) and then rent out these towers to operators. Very few electronics components go into the tower manufacturing,161 and the base station is typically provided by the operators. 4.6. Market control without domestic manufacturing The multinational oligopolists described above dominate the Indian market without engaging in substantial domestic manufacturing in India (whether directly or through EMSs), except for low- value-added final assembly. They rely on their extended global production networks to source the relevant products for the Indian market from other production sites, primarily in China. In making these sourcing decisions, it is important to note that MNCs do not consider just the basic cost structure, but that they would have to invest in creating a whole range of sophisticated capabilities for rapid, low-cost scaling up of large production lines for complex products. Those capabilities are difficult to acquire. In fact, earlier research on Korea, Taiwan and more recently on China demonstrates that developing these sophisticated scaling-up capabilities carries a much larger cost than the physical investment in plant and equipment.162 So in addition to China’s substantial cost advantages, MNCs can benefit from these accumulated capabilities in China for rapid and customized scaling up.163 There are signs that such capabilities allow Chinese firms to charge higher prices than Indian competitors while still winning orders. Witness the following statement of Hitech Magnetics, an Indian component supplier: “We have recently lost out three products that we were supplying to ABB over the last five years. MNCs now have global sourcing and they are asking us to be 15% lower than Chinese cost, only then they will source from us.” India’s electronics manufacturing industry has not yet developed such scaling-up capabilities. In combination with India’s substantial cost disadvantages relative to China, this explains why the 158 Deloitte Report on National Telecom Policy, quoting an Ericsson 2011 Market Report, http://www.deloitte.com/assets/Dcom- India/Local%20Assets/Documents/Thoughtware/National%20Telecom%20Policy%202011.pdf 159 http://businesstoday.intoday.in/story/huawei-zte-market-share-in-india/1/188935.html 160 ICRA Research, http://www.icra.in/AllTypesOfReports.aspx?ReportCategory=Telecom Services 161 For a list of the mostly low technology components that telecom tower companies typically procure from various vendors see the Indus Towers website: http://industowers.com/vendors_questionnaire.php 162 On Korea, the classical study remains, Kim, Linsu, 1997. On Taiwan, see Chen, Tain-Jy and J.L.Lee, eds, 2004, The New Knowledge Economy of Taiwan, Edgar Elgar Publishers. On China, see Steinfeld, E., 2010, Playing Our Game, Oxford University Press 163 S. Berger.”Lessons in Scaling from Abroad: Germany and China, in S. Berger, Making in America. From Innovation to Market (Cambridge, MA: The MIT Press, 2013). 48 MNCs that dominate India’s electronics markets have little incentive to invest in an expansion of local production in India. At the same time, these very same MNCs can use their power as global oligopolists to erect high entry barriers for Indian firms in case they would seek to enter or re-enter the industry. In fact, where local Indian firms seek to compete with MNCs for the India market, they follow the same pattern of sourcing their products from offshore production sites in China. But suppose the support policies designed as part of India’s NPE would incentivize domestic firms to invest in domestic production. Once they start domestic production, these Indian firms would face difficulties challenging the dominance of MNCs in their own market. As oligopolists, MNCs can establish high entry barriers, drawing on their superior economies of scale and scope, long investment in low-cost and scalable production, mastery of expensive and leading-edge technology, and control over rich patent portfolios. Trade rules, as described above, mean that these capabilities provide as entrenched a barrier to entry in India, if not more so, since any such firms would likely also face the inverted tariff structure. India thus faces a vicious circle in its efforts to develop a domestic electronics manufacturing industry. As long as the industry is shaped by oligopolistic competition and an inverted tariff structure, neither MNCs nor Indian firms have much incentive to invest in substantial domestic manufacturing in India. At the same time, oligopolistic control gives rise to a “commoditization” of electronics products across the globe, imposing substantial constraints to local innovation efforts in a country like India that would seek to address specific needs of India’s domestic market through “frugal innovation.” 4.7. India’s challenges and opportunities India’s experience with trade liberalization through international trade agreements has been mixed, especially for the Information Technology Agreement. IT services have clearly benefitted. Yet, for electronics manufacturing the gains from trade liberalization were overshadowed by substantial costs, especially stalled or declining domestic production. Moreover, these trade agreements intersect with a market that, since the agreements were signed, has become more and more oligopolized. The result is enormous barriers to entry, which are faced by domestic firms not just in India but in any developed market. Domestic firms face incumbents that combine developed world skills and intellectual property with the capabilities for rapid, low- cost scaling up available in China. Both are the result of decades of investment, and even if firms in India or elsewhere could in theory be competitive, in practice they will sustain enormous losses before acquiring capability bases that allow such potential to be actualized. On the other hand, none of those constraints are impossible to overcome. Indeed, China itself is showing that it is possible, both in its original acquisition of those capabilities, and in the rise of Chinese firms to challenge the global oligopolies, particularly in mid- and low-priced segments. To do so, however, it has had to engage in highly interventionist, long-term support policies, including substantial subsidies of a range of inputs, as well as creating an exceptional operating environment for the MNCs to invest, and both them and domestic firms to import and export. 49 In theory, India could achieve this too. With electronic goods penetration in China nearing saturation in a range of markets over the next few years, India will be one of if not the largest growth market for electronics. Given the premium in this industry on time-to-market and customization, this should create an inbuilt advantage for domestic production in India, certainly compared to any other developing market. To achieve this, though, India will have to overcome the substantial latecomer disadvantages described above, in a context where its influence on key external parameters, in particular market structure and trade rules, is limited to non-existent. The responsibility for overcoming this challenge thus lies domestically, in the alignment and implementation of domestic policies and the improvement of the domestic business environment. Only such a concerted reform and support effort will have a chance to marginally unblock the barriers to investment and growth in the electronics industry in India. To appreciate the key priorities for such an effort, the next chapter presents the view from industry, drawing on extensive interviews in India. 50 CHAPTER THREE: THE VIEW FROM INDUSTRY – REGULATIONS AND OTHER INVESTMENT BARRIERS 1. Research Methodology and Interview Sample This chapter presents findings of field research in India that was designed to shed light on the challenges faced by India-based companies (both domestic and foreign) in the electronics manufacturing industry, especially with regard to restrictive regulations. The field research was conducted through semi-structured interviews with firms, having the following objectives:  Explore the nature of work being done by electronics companies in India including product mix, levels of value addition, technological complexity of products and processes, employment effects, and sourcing of technology;  Understand how companies assess the challenges they are facing and how they define their strategic objectives;  Examine how companies self-evaluate their management and technological capabilities, especially in both electronics design and manufacturing; and,  Identify the regulatory barriers that are most constraining for investment in electronics manufacturing, holding back the growth of India’s electronics industry. A total of 46 interviews were conducted in six cities between April and August 2013, covering 39 companies, three Government Departments, three industry associations, and one non- governmental organization (NGO). Interviews were structured by a detailed questionnaire and lasted between one and two hours. Interviews were conducted with senior managers across a broad sample of India-based firms that are involved in various stages of India’s electronics manufacturing value chain (Figure 4 in Appendix One). The focus was on components and electronics equipment manufacturing (including EMS). Most of the manufacturing companies interviewed were founded more than ten years ago. By nationality of ownership, the sample is fairly evenly distributed between Indian-owned companies (56%) and foreign-owned companies. The small number of start-ups interviewed reflects their limited presence, especially in electronics manufacturing. Finally, in geographic terms, almost two thirds of the interviews were conducted in Bangalore, with an additional 20 percent in the Delhi National Capital Region (Appendix Two includes quotes from interviews). 2. Firms’ Strategies: Challenges, Objectives and Capabilities 2.1. How companies define their strategic objectives Companies were asked to rank key motivations for investing in domestic electronics manufacturing as well as enabling factors and challenges for them as they do so. As for motivations, start-ups and experienced players differ markedly in the factors which drive them to invest. For start-ups the primary reason seems to be to respond to customer needs and produce appropriate products that fulfil those needs. For the more experienced players, besides serving customers, the major reason seems to be the need to gain market share. 51 Throughout the interviews, growing domestic consumption of electronic products was highlighted as the main enabling factor that would convince the firms to invest in electronics manufacturing. Underlying this is the need to gain volume, and hence to reap economies of scale. Some of the more successful companies emphasized the need to develop partnerships with global industry leaders as an important motivation for expanding investment in electronics manufacturing. The importance of developing partnerships increases for exporters, as this gives them an additional source of competitiveness in export markets. According to a domestic EMS: For us the key reason for the expansion of manufacturing is the need to develop partnerships with global industry leaders. This has always been a major driver for us. For example, we are part of a global EMS alliance which gives us significant bargaining power in terms of getting preferred pricing in components. Similarly, we have global customer partnerships with companies like GE which means that we do the production for GE’s global level products … and not just its India specific products. A young domestic producer of medical equipment also emphasizes the importance of global partnerships as a source of technology and as a facilitator for gaining access to international markets: For us the major reason for expanding manufacturing is to develop partnerships with global industry leaders …. Of course, gaining market share and responding to the customer’s needs is also very important. Instead of talking about growing domestic consumption, I would rather talk about growing global consumption which is an enabling factor for us. Government policies and tax incentives were frequently mentioned, but almost all interviewed companies complained about the absence of effective support policies and tax incentives. Even where firms know about such policies, there is widespread scepticism about whether they will be implemented effectively and lead to tangible results. Here are three typical examples:  The tax ‘incentives’ and government ‘support’ policies are all dissuaders rather than enablers. – Indian start-up producing medical equipment.  Things like tax incentives and government support policies have only been recently introduced and their effect remains to be seen. However as a businessman I only trust what I have seen and I would not rely on these incentives to be an enabling factor as long as they do not show tangible results. – Indian EMS.  The government support policies and tax incentives are non-existent, so I would not call them enablers. – Indian component producer. In terms of their fundamental strategies, most of the interviewed companies seek to compete as low-cost producers, predominantly for lower-end market segments, though a few companies aspire to follow close behind global leaders with fast scaling-up. A handful of internationally oriented companies seek to work closely with a larges OEMs and produce what they ask for. Most companies, though not all, rate the level of local value added as low. 2.2. How strong are India-based IC design capabilities? 52 As noted in Chapter One, India’s base of integrated circuit (IC) design capabilities is one of its key potential advantages in the electronics industry. To understand the reality and impact of these capabilities, companies were asked how digital and analog design capabilities of India-based IC design companies compare with global best practice, and to assess the level of sophistication of projects done in India. This provoked lively responses, with most companies emphasizing a high level of technical design capabilities, but acknowledging a gap in the level of integration of design projects and the sophistication of project management. Overall, the interviews confirmed the fundamental disconnect, highlighted in Chapter One, between domestic electronics manufacturing and India’s treasure trove of engineers with advanced electronic and IC design capabilities. According to a leading global electronic design automation (EDA) tool provider with a massive presence in India, the technical capabilities of senior and experienced designers are on par with global leaders. MNC affiliates, like Intel and Texas Instruments (TI), conduct integrated design projects in Bangalore, and such projects are estimated to account for around 70 percent of the projects, against 30 percent for more basic design services. According to the same source, a serious concern is a quite significant gap in capabilities between MNCs and public sector units (PSUs). As compensation packages in MNCs are up to 10 times higher than in PSUs, MNCs can hire the best talent.164 As a result, performance requirements and competition for jobs are much less intense in those PSUs than in MNCs. A more skeptical assessment was offered by a domestic provider of EDA tools. According to this source, Indian IC design firms are still peripheral players, and require much catching-up to reach global best practices. This source has interviewed engineers who are working at MNCs, and concluded that their “quality is not really good. International companies operating out of India are working on a manpower supply model rather than a capability model.” In this view, MNCs still seem to emphasize primarily access to large populations of lower-cost young design engineers. MNCs have little interest to enable Indian engineers to “own the full delivery of the chip. …The attitude is to look for manpower trading rather than getting into a solution mode for a particular problem.” A balanced view was provided by the head of an affiliate of a Japanese company producing electronic substrates: “… the project sophistication handled is of medium level. Take the example of a company like Intel. The design work they are doing in India is only the peripheral level design. All the advanced core design work is done in Israel.”165 A common theme was to emphasize two critical weaknesses: a “service mentality” that focuses on detailed engineering implementation of designs received from global customers; and a lack of 164 Note that this contrasts with the situation in China, where the erstwhile huge gap in compensation packages between MNCs and large domestic firms like Lenovo or Huawei has been substantially reduced, with the result that domestic firms can now successfully compete for the best talent not just in China but internationally. 165 This is in line with the author’s research on Intel Bangalore’s IC design projects. Bangalore’s contribution to complex multicore processors was mainly in detailed engineering to make those processors “market ready”. Tasks performed at Intel Bangalore’s lab “are mostly tedious and require intense manpower to complete the tasks. The intellectual content, i.e., inventing new techniques and architectures, is low. No new core design was done to complete the project.” Ernst, D., 2009, A New Geography of Knowledge in the Electronics Industry? Asia’s Role in Global Innovation Networks. Policy Studies No. 54, August, East-West Center, Honolulu, HI. : p.21 53 exposure of Indian design engineers to strategic marketing and other business functions that are necessary to develop and market original IC designs. Some companies also emphasized the weak design and R&D capabilities of small and medium enterprises (SMEs). 3. Regulatory Barriers: Taxes, Trade and Licenses 3.1. Definition and brief overview of regulatory barriers As noted above, this study builds on the World Bank’s earlier analysis of India’s restrictive regulations.166 Firms were questioned at some length regarding regulations, to identify which are most constraining to electronics firms, and their impact on the growth of this strategic industry. In order to understand such relative priority and impact, the concept of regulations was broadened from the earlier study to include elements such as trade laws and regulations affecting infrastructure provision (Figure 5 in Appendix One). Companies were therefore asked which regulations they consider to be most constraining for investment in electronics manufacturing, as well as related services and R&D, and how these related to other constraints. SMEs consider the high cost of capital as the single biggest constraint to investment. The negative impact of India’s inverted tariff structure167 is also creating serious problems for both smaller and large companies, and was among the most frequently cited barriers to investment in electronics manufacturing. The tariff structure is treated in detail above, and the cost of capital is considered beyond the scope of this study. Fiscal constraints seem to impact SMEs more than larger companies. Larger companies have better processes and can afford to use tax consultants to navigate through the complex maze of tax requirements. Frequently mentioned concerns relate to the instability of taxation, a lack of clarity of the tax structure, and the disparity of tax structure from state to state. This topic will therefore be treated in detail below. Badly designed regulatory breaks and tax incentives are an important concern for SMEs and especially young companies that are eager to get new ideas produced and introduced to the market. An example is incentives to promising companies to stay small. According to the general manager of a leading MNC affiliate, There are significant disincentives against scaling- up of SMEs. As soon as companies seek to get bigger, they are under pressure not to cross a ceiling, and entrepreneurs look for way to navigate these barriers, for instance by establishing shell companies. Labor issues seem to be more of a problem for large companies, as micro, small, and medium enterprises (MSMEs) are typically working with a smaller workforce and a higher share of permanent workers. 3.2. Domestic market fragmentation, taxes and the GST There was a near complete consensus among interviewees about the fundamental need for a nation- wide GST.168 One interviewee compared waiting for GST with Samuel Beckett’s “Waiting for 166 Bhattasali, D., N. Katoch and L. S. Jordan, 2013, Regulations Restricting the Growth of Non-Farm Enterprises. 167 For a detailed analysis, see Chapter Two. 168 Further details on GST can be found in the companion study on Supply Chain Delays and Uncertainty in India. 54 Godot” where two actors wait endlessly and in vain for the long promised arrival of a man whom they only know by hearsay. Companies across the board (both large and small) mentioned the disparity between state taxes as well as 2 percent Central Sales Tax (CST) as a key fiscal constraint. This is by far the largest regulatory issue facing electronics companies, mentioned first or second in almost all interviews. It is considered far more important than subsidies. As documented in Chapter One, electronics manufacturing depends on complex global supply chains and timely delivery of goods. As long as a unified India-wide GST does not exist, it seems highly unlikely that a robust electronics manufacturing industry can develop in India, however many subsidies or other policies are handed to the industry. Most firms acknowledge the priority the Government is already giving to GST, but they emphasize that the implications of any further delay in introducing GST will be felt across all segments of electronics manufacturing, and that this will constrain one of the high priority industries of the future. This is nicely summarized by the Electronic Industries Association of India (ELCINA) (and the deadline given in this quote has already been missed): There has been considerable delay in implementation of GST regime in the country. As per promises made in recent months and expectation of industry, ELCINA strongly supports implementation of GST and hopes that the next deadline of 1st April, 2013 will be met. Implementation of GST, couched in simple language with maximum clarity will lead to all-round rationalization … ELCINA strongly supports immediate implementation of GST with CST subsumed in it. In case for some reason, the implementation of GST is delayed further, CST should be made zero.169 Beyond GST, the general difficulties in obtaining clarity on tax structure and regulations affect all types of companies equally hard, with design start-ups (especially fabless companies) being strongly affected due to lack of clarity regarding their status. Manufacturing start-ups are especially hard hit because of complex and costly tax recording requirements. 3.3. An inverted tariff structure In almost all interviews, companies of all sizes (both domestic and foreign) confirmed an important finding of Chapter Two of the study. They emphasized the negative impact of the inverted tariff structure, where the duty on the finished product is lower than that on raw materials and intermediate products, which are highly tariffed to protect other industries. According to the Manufacturers’ Association for Information Technology (MAIT), most materials that are used in electronics products (such as plastics, copper, aluminum, ceramics) as well as components (both semiconductors and passive components) attract a customs duty of 10.3 percent and a special 169 ELCINA, 2013a, Pre Budget Recommendations 2013-14, http://www.elcina.com/ELCINAs%20Pre%20Budget%20Recommendations%202013-14.pdf 55 additional duty of 4.4 percent.170 The rationale for these duties is that such inputs are of “dual use” since they can go into multiple products. The negative effect is felt by Indian electronic equipment producers, like one Indian manufacturer explained: Currently all the components that are used in our manufacturing have a very high duty structure due to which the prices of our final products become uncompetitive. Import content on our products is close to 52%, and due to the higher duty structure on components, the overall disability is 30-40% on the final price of the product. Interviewees in general supported the decision of the Government not to join the July 2013 negotiations in Geneva on broadening the scope and product coverage of the ITA (it is being referred as ITA-2), citing protection of national interest. Of particular interest is the negative impact of the inverted tariff structure on medical electronics, a low-volume, high-value industry segment.171 Medical equipment requires complex technology, and its production requires imports of electronic components and modules; involves high level of testing to meet statutory and regulatory requirement; and requires highly skilled and experienced engineers and operators capable of running high precision and very costly machines. Imports of medical equipment face a duty of 5 percent while materials for their production face a tariff of 5–7.5 percent—often with substantial delays caused by differing interpretations of whether an unclear regulation (Central Excise Annexure III, IGCRDMEG Rule 1996) is applicable. This inverted tariff would only become more difficult for domestic producers if medical equipment were included under ITA-2 and India joined the agreement. As reported by an Indian start-up producing medical equipment, The inverted tariff structure, which implies that the final products have no duty, is a major constraint for companies like us. Imports of Chinese medical equipment at ridiculously low prices have seriously impacted the growth of electronics industry in this country. … Hence, there is no level playing field for the different countries. For example, when Brazilian medical equipment is sold in India, they face a 7.5 percent duty while when Indian equipment is sold there it must face a 70 percent duty. 3.4. Customs clearance Beyond the tariff structure, many interviewees complained about the operational details of the customs clearance process, which frequently delays production and turn-around cycles. Customs clearance delays and their unpredictability differ across specific product segments, but are quite constraining in each. This can have a devastating effect in a fast-moving industry like electronics manufacturing, especially for smaller and younger firms. 170 Manufacturers’ Association for Information Technology (MAIT), 2013, Recommendations for Union Budget 2012-13, http://www.capitalmarket.com/Budget/2012- 2013/Manufacturers'%20Association%20for%20Information%20Technology%20(MAIT).pdf 171 For a detailed analysis of the barriers encountered by Indian medical device companies, see Jaroslawski, S. and G. Saberwal, 2013, “Case studies of innovative medical device companies from India: barriers and enablers to development”, BMC Health Services Research, Vol.13:199. 56 Firms interviewed reported that inefficient and corrupt customs procedures may often delay customs clearance by at least two to three weeks. Due to ambiguous regulations, companies often face situations where customs officials seek to exploit this ambiguity to exact informal payments. Companies have to struggle with continuous delays and with highly complex customs procedures for imports of supplies. Interviews with two industry associations (Consumer Electronics and Appliances Manufacturers Association (CEAMA) and ELCINA) highlighted how these delays can cause serious and systemic disruptions of the electronics industry’s supply chain.172 According to both, a root cause of these delays is discretion and authority of ground level officers, interacting with “notification-based exemptions”, i.e., customs provisions based on notifications that are time consuming to interpret and change. A primary example is the “Customs Notification 25/99”, also known as the “jumbo notification.”173 This notification is supposed to list the raw materials which go into electronics and which qualify for receiving preferential duties. However, interpreting this notification causes endless delays, and never ending queries, due to conflicting interpretations of ambiguities of product classification. The result is that suspicion has become built into the system, as well as opportunities for rent seeking, and association leaders have to spend much of their time trouble-shooting with consignments stuck at airports. On one side, customs officers often seem to believe that all companies are trying to game the system. For instance, ICs have a 0 percent duty, but other parts are tariffed at 7.5 percent. So, some firms may falsely declare components as ICs, to reduce overall weighted duty. Other firms may claim to import components as raw materials for electronics, and then resell them. Clearly, firms which do this are in violation of the regulations and should be punished. Most firms and associations supported increasing the punishments for violators. However, the burden of proof is heavily weighted towards firms, which must fully satisfy requests before allowed access or allowed to operate. In principle, there is a “green channel”, but it is only available to large firms (a finding confirmed by more extensive data analyzed in the companion study on freight and logistics). The associations report that senior officers are responsive, but are caught in a system that is slow moving and requires far too many approvals. It is therefore necessary to establish a grievance redress mechanism that is fast, especially for smaller firms. The current administrative mechanisms take very long, and firms are scared of reprisal. As one interviewee put it: “To gain one rupee in customs duties the country is losing thousands”. Take the following example: The duty on LCD panels was cut from 10 percent to 0 percent. However, some companies or countries have the established practice of labeling “panels” as “modules”. So when a particular shipment arrived, customs officers queried whether the duty should be 0 percent, and refused to release material until they received a formal notice. As a result, domestic production stopped. In response, a representative of CEAMA had to request the Ministry of Finance through DEITy to issue a 172 Transcripts of interview with ELCINA representative, June 11, 2013, and CEAMA representative, June 12, 2013. 173 Customs Notification 25/99 is available at http://www.cbec.gov.in/customs/cst2012-13/cs-gen/gen-exemptn- idx.htm (point number 100). More details available at: http://www.cbec.gov.in/customs/cst2012-13/cs-gen/cs-gen99- 106.pdf 57 clarification notice to customs. The relevant Joint Secretary (JS) agreed, but before issuing the notice he was reposted. A new JS arrived, but was unfamiliar with the issue. As a result, the Secretary General of CEAMA had to make repeated visits, only to be told each time “the file has moved”, and it took six months to clarify this very simple issue. Similar examples abound in dealing with customs authorities and the interpretation of product names. For instance there are conflicting interpretations of regulations about “metallic” versus “plastic” materials, with widely different implications for tariff rates. The point is not that these queries are not valid, but the enormous complexity required to resolve them. Reforming this requires trust. A possible solution may be to allow the consignment through, but require bank guarantees on threat of forfeit. Larger companies can do this, but it is necessary to spread this system to smaller companies with a track record. More generally, there may be cause for setting up a new system of tariff query resolution, one that can provide notifications in days rather than weeks. Such a system would be of particular benefit to electronics, which could be the pilot of such a mechanism, which might then be spread to other industries. 4. A Weak and Dysfunctional Standards System Many companies emphasized the critical importance of standards for developing India’s domestic electronics manufacturing industry. Companies are conscious that effective standards are critical for market expansion and differentiation, and that they are needed to facilitate technology transfer. Most companies emphasized that well-defined quality and safety standards could be a powerful policy tool against low-cost and low-quality imports. However, there is also a broad consensus that the current laws and institutional set-up of standards development is insufficient to guarantee the high levels of quality and safety that are essential for the industry’s international competitiveness. In line with such a broader concept of standardization as a tool for industrial development, some companies argued that India should study China’s approach to develop a unified National Standardization Strategy.174 Other interviewees referred to the approach of the American Voluntary Standards System as a possible benchmark, and its emphasis on public-private partnerships in standards development.175 In order to compete as preferred suppliers within global production networks, India-based firms need well-designed inter-operability standards that enable “two or more networks, systems, devices, applications, or components to exchange and readily use meaningful, actionable information - securely, effectively, and with little or no inconvenience to the user.”176 174 For an in-depth analysis of China’s Standardization Strategy, see Ernst, D., 2011, Indigenous Innovation and Globalization: The Challenge for China's Standardization Strategy, UC Institute on Global Conflict and Cooperation; La Jolla, CA and East-West Center, Honolulu, HI., 123 pages, http://www.EastWestCenter.org/pubs/3904 [Published in Chinese at the University of International Business and Economics Press in Beijing, 自主创新与全球化:中国标准化战略所面临的挑战] 175 See Ernst, D., 2013, America’s Voluntary Standards System – A “Best Practice” Model for Asian Innovation Policies, Policy Studies #66, March, East-West Center, Honolulu, USA, http://www.eastwestcenter.org/pubs/33981 176 As defined in National Institute of Standards and Technology, 2010. Framework and Roadmap for Smart Grid Interoperability Standards, Release 1.0, Office of the National Coordinator for Smart Grid Interoperability , NIST Special Publication 1108. Washington, DC: US Department of Commerce, January. 58 Given the importance of this subject, Chapter Four will examine in detail the broader strategic role that standards can play in fostering the growth of India’s electronics industry. 5. The Challenges of Young Innovative Firms A critical finding of the interviews is that smaller companies can face a disproportionate burden from being exposed to the maze of restrictive regulations. This is especially the case for young companies that seek to produce new products, but are struggling to cope with existing tax, customs and myriads of other regulations. A major challenge for instance for any company which considers investing in electronics manufacturing is that right from the start the company needs to comply with a multitude of clearances needed for setting up a manufacturing facility. Especially smaller companies feel overwhelmed by the multiplicity of regulations and the amount of man hours needed to cope with those requirements. For instance, the time required for getting the land allotted and for obtaining the clearances often adds up to between six and eight months, while in China those clearances would require no more than two to four weeks. As an example, the Consortium of Electronic Industries of Karnataka (CLIK) is working on a 50 acre cluster, where the land allotment has not been cleared for more than 18 months. The government in Karnataka has changed during this time and the entire process has had to restart. Similarly, a large number of interviewed companies mentioned that excise and commercial departments continue to remain a major clearance hurdle even though they seem to have improved in functioning slightly. Companies that provide services for the electronics manufacturing industry complain about the service tax department and the long time it takes to receive payment for credit on service tax that was over paid. Over and above these, the following concerns were frequently mentioned, and are familiar from many accounts in the media and other studies: lack of coherence; excess paper work; a large number of laws, which are often unclear; and the interaction of all of these with corruption. Promising start-up companies that were able to raise early-stage venture capital are hard pressed to make sense of well-intentioned but complex incentives and support policies provided by the Ministry of MSME and other government agencies. Many of these firms lack the scale and the deep pockets of capital that would be needed to cope with the quite substantial costs of compliance with existing regulations and the multi-faceted and often obscure tax and tariff obligations. Nor can these firms afford the delays in production due to inefficient customs clearance and transportation systems. Most importantly, existing regulations, bank lending and support policies fail to address the needs of companies that seek to draw on their strengths in product development and system integration to pursue ”low-volume, high-value” strategies. 59 These findings are in line with the findings of one of the few empirical studies on India’s technology-based start-up companies.177 Drawing on interviews with a sample of 443 start-up companies, that study found that “government policies represent the greatest problem faced by start-ups in India.”178Among these policies, drawing on a separate slightly larger sample of 532 companies, the same study prioritized the specific constraints: lengthy procedures and formalities, extensive paper work (20%); high import and excise duties and sales tax (20%); stringent norms of labor laws (15%); interpretation of laws and policies by enforcement agencies (14%); stringent environment and pollution control norms (12%); various insurance schemes (10%); frequent raids and inspections (8%) and taxation (2%).179 In addition to these regulatory restraints, our own interviews highlight the paucity of institutionalized support for technology-based start-up companies in the electronics manufacturing industry. Despite progress in de-licensing and de-regulation, India’s framework conditions for innovative start-up companies remain weak. 6. Impact on Business Organization Finally, an important finding of this study is that persistent restrictive regulations may give rise to forms of business organization that prevent organic growth through the accumulation of specialized resources and capabilities. Deeply entrenched restrictive regulations clearly play a major role in constraining growth and in stifling innovation. Some companies we interviewed for instance establish “shell companies” for the sole purpose of by-passing the effects of tax, labor and other regulations, or for availing subsidies and other schemes. All the companies that described how this is done did so with the condition of confidentiality. The common features are easily described though. One legal company will serve as the hub of the web. This will typically have less than ten people, principally legal and accounting experts. It will buy and sell from a web of shell companies, maintaining low sales and profits on its books but accumulating the residual assets. The shell companies will then conduct the actual trading, each shell processing few enough sales to keep their exemption from excise taxes, and employing few enough people to remain under the labor law thresholds. Every few years the shell will be rotated out of circulation, its sales slowing down, to stay under the radar. If a new scheme, for example, offers subsidized land to small companies, new shell companies will be established to avail such benefits. If inspectors query why half a dozen companies are operating out of a single address, undertaking the same activities, then the companies come to an informal arrangement to ensure the questions do not proceed further. As one proprietor described it, this web is time-consuming but, under current regulations, it can be very lucrative. When a business is sold, only the hub company is, the shells becoming in effect defunct, unless the new owner decides to maintain them instead of setting up a new web. 177 Centre for International Trade in Technology/Indian Institute of Foreign Trade, 2007, A Pilot Study on Technology-Based Start-Ups, a study prepared for the Department of Scientific and Industrial Research, Government of India, August, http://www.dsir.gov.in/reports/ittp_citt/Startups.pdf 178 Centre for International Trade in Technology/Indian Institute of Foreign Trade, 2007: page VIII. 179 Ibid. 60 It is important to emphasize that these shell companies are very different from the complex network arrangements established by Korean and especially Taiwanese electronics firms whose main purpose is to generate economies of scale and scope in procurement, marketing, manufacturing and R&D.180 In India’s electronics industry, avoiding regulations is the sole purpose of those sometimes quite complex network arrangements. The result is that much of the management efforts in those companies are concentrated on keeping alive an increasingly complex and “underground” web of companies that do make money from short-term contracts but lack the human and financial resources to invest in plant and equipment, let alone in R&D. These companies fall far short of the minimum economies of scale and scope required for competing in the fast-moving and technology- intensive electronics industry. 180 For Taiwan, see Ernst, D., 2010, “Upgrading through innovation in a small network economy: insights from Taiwan's IT industry”, Economics of Innovation and New Technology, 2010, vol. 19, issue 4, pages 295-324: and Ernst, D., 2000, Inter-organizational Knowledge Outsourcing: What permits small Taiwanese Firms to Compete in the Computer Industry?”, Asia Pacific Journal of Management, Vol. 17, issue 2, August: pp 223-255. For Korea, see Ernst, D., 2001, “Catching-Up and Post-Crisis Industrial Upgrading. Searching for New Sources of Growth in Korea’s Electronics Industry”, in: Deyo, F., R. Doner and E. Hershberg (editors), Economic Governance and the Challenge of Flexibility in East Asia, Rowman and Littlefield Publishers, and Ernst, D., 1994, What are the Limits to the Korean Model? The Korean Electronics Industry Under Pressure , A BRIE Research Monograph, The Berkeley Roundtable on the International Economy, University of California at Berkeley, Berkeley. 61 CHAPTER FOUR: UPGRADING STRATEGY AND SUPPORT POLICIES 1. Key Questions The final chapter of the study examines the Government’s support policies that seek to fast -track the growth of India’s electronics industry, and to draw recommendations to strengthen their implementation or fill in any gaps. In light of the previous findings on policy parameters in this industry and the business environment for electronics manufacturers, the following questions have guided research for this chapter:  How familiar are India-based companies with the Government’s policies in support of the electronics manufacturing industry?  What needs to be done to improve the impact and the effectiveness of those support policies?  What policies are required to fully utilize the strategic role that standards can play in fostering the growth of electronics manufacturing in India?  How do companies evaluate the recently launched National Policy on Electronics?  How do companies rate support policies in terms of their capacity to create an enabling environment for electronics industry growth in India? Key information sources include responses and information received through interviews with the company sample described in Chapter Three; descriptions of key building blocks of the NPE described in detail in policy documents published by a variety of government agencies; reports prepared by industry associations and consulting firms; and academic research papers on specific aspects of India’s support policies. These are complemented by information from the author’s research on support policies for the electronics industry in China, Korea, Taiwan, and in the US. After presenting findings for the above questions, this study concludes by offering recommendations. 2. Industry Perceptions, Implementation, and Dialogue During the interviews, companies were asked what indicators they would use to assess whether a support policy might facilitate an expansion or upgrading of their manufacturing business. As expected, the selected criteria differed by industry segment, as well as by the size and ownership pattern of the companies. This by itself conveys an important message to policy makers – the diversity of interests of different stakeholders in electronics manufacturing requires a diverse set of policies, and a capacity to listen to the voices of different industry stakeholders. At the same time, interview responses clearly highlighted a number of widely shared priority concerns. Almost all respondents emphasized the critical importance of transparent and user- friendly support policies that take into account the feedback from industry. The remainder of this section first presents the industry’s responses; then discusses the general constraint of policy implementation, in line with the 12th Five Year Plan; highlights restructuring industrial dialogue as a key mechanism for feedback and policy guidance, with a deep and detailed comparison to the industry dialog in Taiwan, China; and leads finally to a key enabler for such dialogue, the role of industry associations. 62 2.1. Firms’ specific expectations from support policies Most of the interviewees emphasize first and foremost the need to attract and facilitate investment by both domestic and foreign companies to increase local value-added. Many companies emphasize that investment promotion should focus primarily on attracting foreign “Big Players”, as they could act as catalysts for creating a space for domestic smaller companies. A number of companies argued that a particular focus should be on attracting EMS providers. The most mentioned items on firms’ “wishlist” (Figure 6 in Appendix One) were the general constraints of infrastructure and access to capital. The third most mentioned expectation, and the highest priority one that is specifically relevant to the industry, is that industrial support policies should help to accelerate the entry of start-ups, and to foster the rapid growth of young India-based companies that seek to manufacture and commercialize new electronic hardware products. In addition, successful policies would enable domestic firms, especially SMEs, to develop their own intellectual property rights. Concrete suggestions for industrial upgrading policies are provided by the Indian Electronics and Semiconductor Association (IESA). It suggests that in order to strengthen the technological and management capabilities of firms, support policies should encourage international technology cooperation with foreign centers of excellence, such as Germany’s Fraunhofer Institute, Belgium’s IMEC,181 and should facilitate technology licensing agreements. In addition, IESA suggested that industrial support policies should …induce high value-added FDI to address the rapid domestic market growth (mobile phones as an important growth market); foster co-creation, where cooperation between firms (both MNCs and domestic firms) and India-based academic institutions will lead to co-owned IPR [intellectual property rights] … A fundamental prerequisite for implementing this strategy is the development of sophisticated IPR protection, IPR licensing and IPR development capabilities. During the interviews, firms were also asked which States (cities or clusters) already have the infrastructure, human resources, capabilities, as well as supportive policies that could position them well for growing investment in electronics hardware manufacturing (Figure 7 in Appendix One). The leading three States on this list might come as little surprise, but some low-income states ranked unexpectedly high on the list, most notably West Bengal. Firms also placed and emphasis on the importance of looking at clusters, as much or more than states, in determining the potential for growth. 2.2. The general constraint of transparent, user-friendly implementation Almost all respondents placed much emphasis on the ease of policy implementation, and their transparency and “user-friendliness”. Many companies expressed a palpable sense of frustration 181 IMEC, a world leading R&D Center for nano-electronics, is headquartered in Leuven, Belgium. Its global innovation network includes R&D teams in The Netherlands (Holst Centre in Eindhoven), China, Taiwan, and India (Imec India Private Limited), and offices in Japan and the USA. http://www2.imec.be/be_en/about-imec/company- profile.html 63 about support policies that are brilliant on paper, but are obstructed by weak implementation capacity of both central and state governments. A related argument is that support policies need to be predictable and should have a longer-term perspective. Most companies agree that one-off initiatives to fix regulatory constraints may be useful, but they may be subject to dispersal of effort, and risk becoming obsolete quickly, as the industry changes rapidly. Given the relentless and unpredictable pace of technical change, in three years’ time this year’s reform may no long have an effect, or may even be harmful. In general, a fundamental challenge in fact for regulatory reform is a capacity for flexible policy implementation which, based on a periodic review of what works and what doesn’t, can find ways to recalibrate and adjust existing regulations.182 This response from firms is directly aligned with a core theme of the 12th Five Year Plan, that the issue in India is not the intent of policies but their implementation. As the Plan states: Two root causes for poor implementation are: inadequate consensus amongst stakeholders for policy changes, and very poor coordination amongst agencies in execution. … [Often],… a good plan was not made before announcing action. Or, a plan was made but it was not understood by, and sometimes not even known to, the various agencies involved. And, often, even when the plan was known, there was no monitoring and follow-up.183 Specifically, the Planning Commission highlights a range of implementation constraints for a cohesive national manufacturing strategy, from the complexity of inter-ministerial and State- Centre relations, to the multiplicity of stakeholder groups that must be involved for a plan to have traction. In order to overcome these deeply entrenched implementation constraints, fundamental changes are required in the management of government programs. According to the Planning Commission, the government should shift from a role of micro-manager to one with capabilities focused “not only on scheme design and strategic alignment of schemes to tactical outcomes, but also strong evaluation and feedback systems and networks from which the states and other local implementers can learn.”184 In addition, support policies for industrial manufacturing need to focus on learning and capability development: “A good manufacturing plan focuses on accelerating learning within a country’s industrial ecosystem that enables enterprises within it to improve their competitiveness faster than enterprises in other countries. The implementation system for such a plan needs to focus on building broad-based capabilities across industries.”185 182 For a conceptual discussion, see Jordan, L.S., and Koinis, K., 2014, Flexible Implementation: A Key to Asia’s Transformation, Policy Studies # 70, East-West Center, March 183 Planning Commission, 2012, The Manufacturing Plan, p. 7. 184 Ibid, p. 27. 185 Ibid, p. 25. 64 Most fundamentally, the Planning Commission suggests improving inter-agency collaboration, to establish effective stakeholder consultation processes, and a continuous evaluation of policy impacts and effectiveness. In fact, the Planning Commission calls for wide-spread consensus-building processes … [that]… must become part of the Indian manufacturing system. For this, institutions for representation, such as employee unions, employer associations, and civil society organizations, must become more professional, more democratic, and more competent in arriving at agreements that ensure fairness to all stakeholders.186 These extracts highlight the critical importance of changes in the processes of policy implementation. A tangible implication of them is that making policies relevant to industry needs requires permanent “Industrial dialogues” on many levels, with more direct access to entrepreneurs, and a willingness of government agencies to listen to industry needs (companies complain that they need to “chase the authorities”), and to encourage the development of mission- oriented public private partnerships. Indeed, according to many respondents, in order to shake- up India’s electronics manufacturing industry, multiple fora for industrial dialogue are required between industry and government, with a focus on specific projects and outputs. On the other hand, a call for such dialogues may be nothing new. Such recommendations can easily descend into simplistic clichés extolling the virtue of “public-private dialogue”. To make this more concrete, it will be useful to make a deep comparison to one of the most outstanding examples of such a dialogue, that in Taiwan, China. 2.3. Industry dialogues and policy innovators: The example of Taiwan, China Taiwan possesses a multi-layered system of industrial dialogues. Its achievements in the electronics system design and manufacturing industry would be impressive for any economy; they are even more impressive for a small island, about one-third the size of New York State. With a population of roughly 18 million people in 1980, less than half the size of South Korea’s 38 million in the same year,187 Taiwan lacked a large and sophisticated market, specialized capabilities and support industries, and the science and technology infrastructure necessary for manufacturing and developing technologically demanding electronics products. Initially, SMEs dominated the industry, with limited resources and capabilities and a limited capacity to influence pricing or shape the development of markets and technological change. To overcome these dual disadvantages of small size of economy and firm, Taiwan’s industrial policy developed early on strong linkages between government-supported research institutes, industry associations, and private industry. The Electronic Research and Service Organization (ERSO) of the Industrial Technology Research Institute (ITRI) was the critical anchor institution for fostering technology co-development, its diffusion and use for commercial-scale manufacturing, and the creation of multiple domestic and international industrial dialogues.188 186 Ibid: p.8 187 CIA, 2013, World Facts Book, https://www.cia.gov/library/publications/the-world-factbook/fields/2119.html In 2012, Taiwan had slightly more than 23 million people, compared to South Korea’s 50 million. 188 For an early analysis, see HOU, Chi-Ming and San GEE, 1993, “National System Supporting Technical Advance in Industry: The Case of Taiwan”. Chapter 12, in: Nelson, R.R., editor, National Innovation Systems. A Comparative 65 Industry associations played a vital role as initiators, enablers and coordinators of industrial dialogues. Of particular interest to India is the international orientation of Taiwan’s industry associations in the electronics industry. Consider the Taiwan Semiconductor Industry Association (TSIA), which seeks, among other goals, not only “to promote cooperation among different sectors in the local semiconductor industry”, but also “to participate in global standard setting and activities related to the development of the semiconductor industry”. Likewise, it sees its key role as a bridge, as much or more than as a lobbyist, seeking to “engage in international negotiations on behalf of the local industry”, but also to “create better communications among its member companies and with other industry associations.”189 As another example, take the Chinese American Semiconductor Professional Association (CASPA).190 Founded in 1991 as a professional association of Taiwanese semiconductor engineers, CASPA has developed into the largest Chinese American semiconductor professional organization worldwide. It consists of more than four thousand individual members, corporate sponsors, board of directors, board of advisors, board of volunteers, and honorary advisors. Headquartered in Silicon Valley, it has nine local chapters worldwide, and more than 70 corporate sponsors, from EDA and design firms, to foundries, venture capital, science and technology park companies, and legal and financial service companies located in the US, Taiwan, Hong Kong, China, Singapore and Japan. CASPA’s international orientation has made an important contribution to the exposure of Taiwan’s electronics industry to leading-edge technology and management practices. It has also provided an excellent mechanism for worldwide networking and knowledge sharing. The promotion of associations of this nature, as well as their integration into the policy process, has immensely strengthened the ability of policymaking in Taiwan to respond realistically to global trends. For example, in recent years it has become clear that Taiwan’s prior industrial development model is reaching its limitations, unless appropriate policies are put in place to develop domestic capabilities for low-cost innovation both at the firm level and across the industry.191 In response, Taiwan has devised a new electronics industry policy that combines market-led innovation and public policy coordination of multiple layers of industry dialogues between private and public industry stakeholders. Due to its pragmatism and openness to new forms of public policy and private-public partnerships, Taiwan’s new policy may in fact serve as a benchmark for India’s attempts to enhance the impact of India’s support policies for the electronics industry. Taiwan’s Ministry of Economic Affairs (MOEA) for instance promotes what they call “policy innovators”. These are government officials who are incentivized to not only design a particular regulation, but also to take personal Analysis, Oxford University Press, New York and Oxford. For a detailed analysis of Taiwan’s industrial knowledge network approach, see Ernst, D., 2000, “What permits David to grow in the shadow of Goliath? The Taiwanese model in the Computer Industry”, chapter 5, in: Borrus, M., D. Ernst and S. Haggard, eds, International Production Networks in Asia. Rivalry or Riches?, Routledge, London and New York 189 http://www.tsia.org.tw/en/tsia_info.php 190 http://www.caspa.com/about 191 Ernst, D., 2013, Industrial Upgrading through Low-Cost and Fast Innovation – Taiwan’s Experience, East-West Center Working Paper Economic Series, October 66 responsibility in enabling across the life cycle of this regulation its effective implementation and also to ensure flexible adjustments where necessary. The Ministry’s Industrial Technology Development Program has various mission-oriented working groups on specific projects such as “smart electronics” or “streamlined manufacturing technology”. These meet regularly in specialized forums with mid-level participants from industry, associations, academia and government agencies that develop and implement pre- competitive cooperative research agendas and implementation schedules.192 They seek in particular to integrate the R&D resources of research institutes, academia, and industry. Another feature of Taiwan’s industrial policy process in the electronics industry is the outsourcing of many policy making functions to the Taiwan Institute of Economic Research (TIER) and the Chunghua Institution of Economic Research (CIER). These are think tanks created by MOEA, and are tasked to design and implement policy and regulations in cooperation with industry and industry associations. Final features of note are the use of regular, repeated “committees” for consensus building among ministries and experts from academia, industry associations and private firms; as well as “seminars” on highly advanced topics, which are actively used for content-based interaction with the private sector.193 In other words, Taiwan’s policy process is characterized by a proliferation of institutions; their coming together in repeated, frequent and multi-level forums, oriented towards programs, projects and substantive content; and a globally-facing, international orientation throughout. This has created Taiwan’s capacity for a gradual evolution of industrial policy and for flexible adjustments in response to changes in markets and technology, based on thorough preparation.194 Overall, this model differs from India’s previous, ad hoc and personality driven “advisory councils”, composed of eminent people, where unpredictable personal dynamics can dictate policy formulation. For instance, the “technical evaluation committees” for the NPE’s Modified Special Incentive Package Scheme (M-SIPS) contain mostly government officials, one or two academics (often from the same institution), and a few representatives of large and established firms. Missing are India’s diverse electronics industry associations, as well as smaller firms and start-up companies. It is important however to emphasize some recent positive examples that indicate a move towards broader industry representation in NPE policy initiatives. A recent example is the Brainstorming Session on Indigenous Product Design and Development of Digital Set Top Boxes, co-organized on May 9, 2012 by the Office of the Principal Scientific Advisor to the Government of India and 192 See for instance http://www.moea.gov.tw/mns/doit_e/content/Content.aspx?menu_id=5442, http://www.moea.gov.tw/mns/doit_e/content/Content.aspx?menu_id=5438 193 Ohno, K, 2011, Taiwan: Policy Drive for Innovation. Highlights from GRIPS Development Forum Policy Mission, May, Japan Graduate Research Institute for Policy Studies, http://www.grips.ac.jp/vietnam/KOarchives/doc/ES51_ET_taiwan201100517.pdf 194 Ernst, D., 2000, “Inter-organizational knowledge outsourcing: What permits small Taiwanese firms to compete in the computer industry?”, Asia Pacific Journal of Management, Vol.17: Issue 2, August. 67 IESA.195 Out of 43 members of this Working Group, 29 are from industry, with representation from three industry associations (CII, IESA and CEAMA). Another recent example is the Meeting to Ascertain the Manufacturing Capabilities of LED/LED based Lighting Products in India,196 convened by DEITy on May 17, 2013. Out of 17 participants, 12 participants were from industry, with representation from same three industry associations. It will be critical that these initial steps are strengthened and furthered, becoming regular and repeated dialogues focused on tangible actions, as opposed to a series of once-off actions. 3.4. The role of industry associations As noted in the discussion above, strong associations are a sine qua non of a robust industrial dialogue. Reflecting this, during the interviews many respondents argued that the role of industry associations in shaping policy formulation and regulations deserves much greater attention. Within India’s electronics industry, there is a surprisingly large number of partially over-lapping associations, both at the central level and for individual states, giving rise to a significant fragmentation. Too many associations with over-lapping constituencies and mandates may reduce the voice and influence of individual associations. Furthermore, associations differ substantially in the type of services they provide, and in their implementation capacity. For instance, associations like ELCINA and CEAMA have to devote a large share of their limited resources on fixing problems caused by “ground-level implementation of regulations and corruption” and on navigating import consignments through custom authorities. In addition to being forced to fix the endless delays, queries and quarrels, these associations try to lobby for subsidies to counter what they estimate to be a 10 to 12 percent “disability cost” of domestic electronics manufacturing. But ELCINA, for example, has played an active role in shaping and implementing the NPE’s Electronics Manufacturing Cluster (EMC) policies. Specifically, it is working on three clusters: outside Delhi, in Bangalore, and in Chennai.197 It also explicitly seeks to overcome the lack of inter-agency communication within the government. For instance, at present EMC grants cannot cover housing, since, (a) public housing is a separate mandate of a different ministry (silos again), and (b) there is fear of subsidized housing speculation. In general, ELCINA also states that it seeks to provide industrial dialogue services, from disseminating information to training programs and seminars.198 A similar role is sought by IESA. Since 2009, IESA has been making a conscious effort to co- shape the NPE. It has broadened its membership to include both leading MNCs and domestic companies which are active across the semiconductor value chain. ISEA argues that this has had a positive effect on the sophistication and pragmatism of policy concepts in the NPE, including the Fab Policy (on which more below). 195 http://www.iesaonline.org/downloads/CAREL_Workshop_on_STB_report_final_110612.pdf 196 http://www.omeducation.edu.in/admin/images/download/59724_e-Newsletter_DIT_June%202012.pdf 197 The latter two clusters are in fact in AP, rather than TN or KT, due to steep land price differences 198 http://www.elcina.com/about.asp 68 Overall, IESA has three main pillars of activities: events, industry research, and government interface. Its marketing material highlights for instance the following “Industrial Dialogue” objectives:  Create global awareness for the Indian semiconductor and electronic systems industry outside of the generic ‘IT’ umbrella;  Create a win-win interaction among semiconductor and electronics product and services companies, government, academia, venture capitalists and industry bodies;  Create an enabling ecosystem that catalyzes industry growth and leadership; and,  Foster active collaboration between industry and universities to further expand the available world class semiconductor talent pool.199 In addition, ISEA seeks to reduce possible trade conflicts with major trading powers, by disseminating information on key initiatives of India’s NPE (for example, seeking to play a mediating and transmitting role in the controversial PMA). On the other hand, it remains to be seen whether this is ISEA claiming greater influence than it has in reality, or whether they can play a useful role in recalibrating policies to the requirements of WTO and the need to attract technology transfer through FDI. These two associations are considered here simply as examples. Others, such as MAIT, CEAMA and CLIK, have also been referenced above. It is of course a complex and difficult question as to what types of policies, or other actions, can support the development of capabilities in these associations so that they can bear the weight of being strong partners in the policy process. One element must be the response of Government itself: if dialogue results more often in easy subsidy schemes, rather than difficult reforms, the associations will naturally privilege lobbying for subsidies. On the other hand, many firms themselves seem to be disaffected with the associations, with tense relationships to association management. As the implementation of the NPE proceeds, and as more difficult topics are addressed, it may be useful to consciously shape responses to the associations, promote responsive internal governance in them, and involve them in difficult tasks. One of the most important, yet difficult tasks will be to create and implement a more strategic role for standards. 3. Policies to Implement the Strategic Role of Standards 3.1. Why standards are critical for latecomer industrialization Standardization is often regarded primarily as a technical issue, and hence receives only limited high-level policy support. However, technical standards contribute at least as much as patents to economic growth. As a key mechanism for the diffusion of technological knowledge, technical standards contribute to productivity growth. The macroeconomic benefits of standardization thus exceed the benefits to companies alone. For Germany, a widely quoted study conducted for the German Institute for Standardization (DIN) finds that a 1 percent increase in the stock of standards is positively associated with a 0.7 to 0.8 percent change in economic growth.200 199 http://iesaonline.org/aboutus/index.html 200 Blind, Jungmittag, Mangelsdorf, 2011. Similar findings are reported for Australia, New Zealand, the UK, France, and Canada in Standards Australia, 2012. 69 But these econometric studies only scratch the surface. Equally important are qualitative impacts, such as those of environmental, health, food and work safety standards. In fact, broad qualitative impacts of standards are essential for latecomer economic development – a well-functioning standardization system and strategy can work as a catalyst for translating new ideas, inventions and discoveries into productivity-enhancing innovation. Standards are the missing link in a growth strategy which seeks to create quality jobs in higher-value added advanced manufacturing and services.201 However, there are an almost infinite number of standards that differ in their form and purpose. This poses an especially demanding challenge for countries which only recently begun to build up their standards systems and strategies. Furthermore, rapid and disruptive technical change (such as the transition to the Internet of Everything202) creates new challenges for standardization. Of critical importance are interoperability standards that are necessary to transfer and render useful data and other information across geographically dispersed systems, organizations, applications, or components.203 This process has increased the economic importance of standardization, but especially so for emerging economies such as India which are latecomers to industrial manufacturing and innovation, but at the same time deeply integrated into international trade, capital markets and foreign direct investment. To shed light on the evolving tasks of standardization, an operational definition will be useful. A state-of-the-art definition that serves the purpose can be taken from the National Institute of Standards and Technology (NIST) in the US as part of its Smart Grid Interoperability Standards project: Standards are …[s]pecifications that establish the fitness of a product for a particular use or that define the function and performance of a device or system. Standards are key facilitators of compatibility and interoperability. … Interoperability…[is].. the capability of two or more networks, systems, devices, applications, or components to exchange and readily use … meaningful, actionable information - securely, effectively, and with little or no inconvenience to the user. … [Specifically, standards] define specifications for languages, communication protocols, data formats, linkages within and across systems, interfaces between software applications and between hardware devices, and much more. Standards must be robust so that they can be extended to accommodate future applications and technologies.204 At the most fundamental level, standards help to ensure the quality and safety of products, services and production processes, and to prevent negative impacts on health and the environment. In addition, standards enable companies to reap the growth and productivity benefits of increasing specialization. 201 On the American standards systems, see Ernst, 2013. China’s standards system is ex amined in Wang, 2013; Ernst, 2011; and Suttmeier, Kennedy and Suh, 2008. 202 “The Internet of Everything” brings together people, process, data and things to enhance the relevance and productivity of networked connections - turning information into actions that create new capabilities, richer experiences and unprecedented economic opportunity for countries, businesses, communities and individuals. 203 Gasser and Palfrey, 2013 204 NIST, 2010: pages 19 and 20. 70 Today however, specialization extends well beyond trade into manufacturing and services, including engineering, product development and research. Equally important is the international dimension. As globalization has been extended beyond markets for goods and finance into markets for technology and knowledge workers, standards are no longer restricted to national boundaries. Standards have become a critical enabler of international trade and investment – they facilitate data exchange as well as knowledge sharing among geographically dispersed participants within global corporate networks of production and innovation.205 In short, standards are the lifeblood of latecomer industrialization. For countries like India, a robust system of developing technical standards is necessary not only to reap economies of scale and scope, but also to reduce transaction costs and to prevent a duplication of efforts. At the same time, standardization has become a complex and multi-layered activity that involves multiple stakeholders who differ in their objectives, strategies, resources and capabilities. Most importantly, standardization is a highly knowledge-intensive activity that requires well educated and experienced engineers and other professionals. While engineers originally created this discipline, key concepts are now shaped by legal counselors as well as corporate executives and government officials. This implies that an effective system of standardization for latecomer industrialization requires close cooperation between industry, government, academia and non-governmental organizations that represent broader interests of society. And within industry, there are different stakeholders with conflicting interests that reflects differences in size, ownership patterns and business model, but also whether firms are standards users, implementers or developers. 3.2. Latecomer standardization is costly As well as sophisticated processes and a variety of skills, considerable financial resources are required to develop and implement effective standards. A rough estimate of such costs can be gained from a stylized model that distinguishes important tasks of standardization and that highlights differences in capability sets and in standardization strategies.206 Table 6 in Appendix One highlights important tasks of standards development. Typically, tasks 1, 3 and 4 are the most costly, but in case of litigation, legal costs in the US can easily run into the hundreds of millions of dollars. As for the capabilities required to undertake these tasks, consider a simple model that distinguishes two countries. Country A (the “innovator”) has a long history of standardization; a proven ability to operate successfully within standardization bodies and to shape international standards; a fairly diversified production and innovation system; and a broad base of accumulated knowledge and 205 Ernst, D., 2005a. “Complexity and Internationalization of Innovation: Why is Chip Design Moving to Asia? ” International Journal of Innovation Management 9 (1): 47–73; and Ernst, D., 2005b. “Limits to Modularity: Reflections on Recent Developments in Chip Design.” Industry and Innovation 12 (3): 303–35. 206 For details, see Ernst, D., 2011, Indigenous Innovation and Globalization: The Challenge for China's Standardization Strategy, UC Institute on Global Conflict and Cooperation; La Jolla, CA and East-West Center, Honolulu, HI., 123 pages [Published in Chinese at the University of International Business and Economics Press in Beijing, 自主创新与全球化:中国标准化战略所面临的挑战], chapter 3, pages 49 ff. 71 IPR that helps to generate product and process innovations. Country A thus is able to “control much of the technological input necessary to meet the standards.”207 As a result, a primary concern of law and policies in country A is the protection of IPR, and the “openness” of standards is subordinated to IPR protection. Country B (the “latecomer to standardization”), on the other hand, is a relative latecomer to standardization. Country B is a standard taker, manufacturing products that are developed and standardized by Country A. Country B still has to learn how to operate successfully within standardization bodies. Most importantly, Country B still has a long way to go to establish a fairly diversified production and innovation system and a broad base of accumulated knowledge and IPR that would allow it to shape or at least co-shape international standards. In country B, laws and policies are focused on economic development and the diffusion of knowledge inherent in IPR. Standardization is viewed as an enabling platform for innovation and latecomer economic development. In principle, these countries and the firms within them can then choose one of the following standardization strategies described in Table 7, Appendix One (or a combination of them). Country A and its leading firms are likely to pursue standards leader or co-shaper strategies, while Country B and its leading firms will initially focus on free rider or fast follower standardization strategies. In sum, latecomer economies such as India face opportunities and challenges in their standards and innovation policies that differ quite considerably from the opportunities and challenges faced in today’s advanced economies. Latecomers typically are standards takers, and have a long way to go in their efforts to shape or at least co-shape international standards. Latecomers also typically are more vulnerable to the impact of “strategic patenting” strategies that large patent holder s use to generate rents from controlling de facto industry standards. Latecomers also lag behind advanced economies in their standardization capabilities, and hence are likely to face higher costs of developing and disseminating effective standards. At the same time, ubiquitous globalization and rapid and disruptive technical change (such as the rising complexity of digital networks) create new challenges for standardization. No Indian electronics company can succeed in international trade without mastering interoperability standards that are necessary to transfer and render useful data and other information across geographically dispersed systems, organizations, applications, or components. The response to this challenge is not a once-off, pseudo-optimal “national strategy for standards”, or similar, but the development of a strategic approach towards standards that allows continual tweaking. The reasons for this are threefold. First, in a world of rising complexity and uncertainty, it is always preferable to have built-in redundancy and freedom to choose among alternatives rather than seeking to impose from the top the “one best way” of doing things. Rising complexity drastically reduces the time available for standards development and implementation, which makes it practically impossible to get solutions 207 Pai, 2013: p. 5. 72 right the first time. There may have to be many policy iterations, based on trial and error, and an extended dialogue with all stakeholders to find out what works and what doesn’t. Second, rising complexity also makes it difficult to predict the possible outcomes of any particular policy measure, especially unexpected negative side effects, of which there is an almost endless variety. In fact, a small change in one policy variable that describes a particular procedure for achieving compliance with a particular standard can have far-reaching and often quite unexpected disruptive effects on many other policy variables and outcomes. Third, it is next to impossible to predict the full consequence of interactions among an increasingly diverse population of both domestic and international standardization stakeholders. Given the diversity of competing stakeholders in standardization, the results of a particular national standards policy depends much more on negotiations, gaming, and compromises than on the logical clarity and technical elegance of that policy. 3.3. India’s standardization system How does India fare compared to these requirements of standardization for latecomer industrialization? While standards systems in China, Korea, and Taiwan have been extensively studied,208 there is no systematic study of India’s national standardization system to the author’s knowledge. A recent background study prepared for the US National Academies was intended to assess the status and challenges faced by India’s standardization system, but unfortunately that study provides no more than a superficial description of existing institutions, without analyzing the challenges and weaknesses of that system.209 The major challenge is to overcome the highly complex and fragmented institutional set-up of India’s Standards and Conformity Assessment Bodies. The Bureau of Indian Standards (BIS) is India’s official national standardization and certification body.210 BIS oversees the development of Indian Standards (IS), coordinating input from various public and private sector stakeholders through its technical committees. Today there are over 18,000 standards in the Indian market.211 In addition to these national standards, many other types of standards are used in India, including those developed by ISO, IEC and other international standards developers, regional standards, foreign national standards, and others. 208 On Taiwan, see The Bureau of Standards, Metrology and Inspection (BSMI), Taiwan’s National Standards – An Overview, http://ita.doc.gov/td/standards/Markets/East%20Asia%20Pacific/Taiwan/Taiwan.pdf ; on Korea: Lee, H. and Huh, J., 2012, “Korea’s Strategies for ICT Standards Internationalisation: A Comparison with China’s”, International Journal of IT Standards and Standardization Research (IJITSR) , Vol.10 #2; on China: Ernst, D., 2011, Indigenous Innovation and Globalization: The Challenge for China's Standardization Strategy, UC Institute on Global Conflict and Cooperation; La Jolla, CA and East-West Center, Honolulu, HI., 123 pages [Published in Chinese at the University of International Business and Economics Press in Beijing, 自主创新与全球化:中国标 准化战略所面临的挑战]. 209 Ramakrishna, T., S. K. Murthy, S. Malhotra, 2012, Intellectual Property Rights and ICT Standards in India , http://sites.nationalacademies.org/xpedio/idcplg?IdcService=GET_FILE&dDocName=PGA_072484&RevisionSele ctionMethod=Latest 210 www.bis.org.in 211 This compares with more than 22,000 Chinese national standards, according to Standard Administration of China (SAC), www.sac.gov.cn 73 However, despite this surface activity, India’s standard system remains fragmented and weak. There are a number of other standard development organizations (SDOs) that overlap significantly in terms of objectives, responsibility and coverage. For instance, the Department of Science and Technology (DST) is supposed to promote new areas of Science and Technology and related standards, and to play the role of a nodal department for organizing, coordinating and promoting S&T activities in the country.212 The Quality Council of India (QCI) is designed as an autonomous body by the Government of India to establish and operate a National Accreditation Structure for standards conformity assessment bodies213. The National Accreditation Board for Testing & Calibration Laboratories (NABL)214 provides accreditation services to laboratories that are performing tests / calibrations in accordance with ISO/IEC 17025,215 while the National Accreditation Board for Certification Bodies (NABCB)216 undertakes assessment and accreditation of Certification Bodies applying for accreditation as per the Board’s criteria in line with international standards and guidelines. The National Quality Control (NQC) organization is then also responsible for spreading awareness on advantages of compliance to quality standards and continuous improvement.217 As for the electronics industry, a number of standards institutions compete for resources and responsibilities. These include the Electronics & Information Technology Division Council (LITD)218 of the Bureau of Indian Standards (BIS), the Telecommunications Engineering Center (TEC),219 the Global ICT Standardization Forum for India (GISFI),220 and the Development Organization of Standards for Telecommunications in India (DOSTI).221 Of the latter standard development organizations, GISFI and DOSTI seem to be most active, involving both participation from industry and academia. It is noteworthy however that in the interviews conducted for this study, most of the respondents were not or only vaguely familiar with most of these organizations, with the exception of BIS, TEC, and DOSTI. It is thus hardly surprising that the recently inaugurated Seconded European Standardization Expert in India project, established by Europe’s leading Standardization Organizations,222 stated that “India’s standardization system remains very complicated and the Technical Regulations are still very intertwined with Technical Specifications, so it makes it sometimes quite difficult for European exporters to understand the requirements that apply to their products.”223 212 http://dst.gov.in/ 213 www.qcin.org 214 www.nabl-india.org 215 ISO/IEC 17025 General requirements for the competence of testing and calibration laboratories is the main ISO/CASCO standard used by testing and calibration laboratories. 216 www.qcin.org/html/nabcb/index.htm 217 www.qcin.org/html/nqc/nqc.htm 218 http://www.bis.org.in/sf/compltd.pdf 219 www.tec.gov.in 220 http://www.gisfi.org/ 221 http://dosti.org.in/ 222 European Committee for Standardization (CEN), the European Committee for Electrotechnical Standardization (CENELEC) and the European Telecommunications Standards Institute (ETSI). 223 http://www.cencenelec.eu/News/Videos/Pages/vo-2013-001.aspx 74 This confusion about the purpose of India’s standards system and its division or labor is shared by most of the interviewed companies. They report that India’s existing standards system is weak, with the BIS considered under-resourced and not interacting enough with industry. Overall, they feel strongly that the existing standards system needs to be strengthened and upgraded, both financially and organizationally, so that firms can receive effective support from the use of quality standards and certification requirements. 3.4. Government initiatives Until recently, the critically important field of standardization has thus remained a “white space” in policy development. India’s government now explicitly acknowledges that bold changes are necessary in the organization of the country’s standardization system. So far however the primary focus of policy- making has been on developing robust safety standards and certification rules that can be used as a trade policy instrument. There is still a fundamental neglect of the strategic role that technical standards can play as a tool for developing and upgrading India’s electronics manufacturing industry. A report prepared for the 12th Five Year Plan states unequivocally: “Lack of domestic regulations and standards is a potential cause of import of substandard goods that may not only put our consumers and environment at risk but also leads to an unfair and cut-throat competition for the domestic manufacturing industry.”224 As a signatory to the WTO-Technical Barriers to Trade (TBT) Agreement, India agreed not to implement technical requirements that create unnecessary obstacles to international trade. However, as the report states, the “Agreement provides flexibility for member countries to specify the requirements in interest of national security, prevention of deceptive practices, protection of human health or safety, animal or plant life or health, or the environment”. With this flexibility, though with a somewhat narrow concept of India’s standardization strategy, the above report recommends the following main policy initiatives:  Mandating Standards. BIS needs to be strengthened to ensure availability of Indian standards for every finished electronic good and to mandate compliance to safety, EMC and RoHS standards in a phased manner. Furthermore, the Ministry’s DEITy needs to be strengthened to regulate standards and ensure compliance with established standards. DEITy should “create a specialized enforcement wing to handle fake, spurious, non- complying goods.”  Upgrading Standards Infrastructure. It is necessary to develop a robust infrastructure for mandating, testing and certifying standards, by establishing recognized test and certification labs through public-private partnerships, and in cooperation with international agencies, such as ISO, IEC and international standards consortia.  Implementation budget. In order to implement the reform of India’s standard system and to ensure effective enforcement of standards, the report suggested a Budget of Rs.275 crore 224 Ministry of Communications & Information Technology/Department of Information Technology, 2012, Report of the Working Group on Information Technology Sector Twelfth Five Year Plan: page 124 http://planningcommission.gov.in/aboutus/committee/wrkgrp12/cit/wgrep_dit.pdf 75 (approximately US$40 million225) over the Twelfth Five Year Plan for ensuring compliance of electronic products to standards of Safety and electromagnetic compatibility (EMC). Specifically, this budget would finance the establishment and upgrading of test and certification labs; support companies in covering the cost of accreditation, mutual recognition schemes, and participation in international standardization meetings and technical committees. In addition, this budget is supposed to cover the cost of standards education and training programs for industry participants, customs and border control agencies. On the basis of these recommendations, DEITy has issued a number of notifications and administrative guidelines that define requirements for the Compulsory Registration of standards, the procedures for setting-up and upgrading electronic product testing and certification labs, and a quite confusing number of additional administrative regulations.226 These standards-related regulations culminate in the Government’s Electronics and Information Technology Goods (Requirement for Compulsory Registration) Order, 2012, that came into force on July 3rd, 2013. That policy document describes its main strategic objectives as follows:  Provide Indian consumers with the right to enjoy world class goods.  Upgrade the quality of domestic products for bringing Global competitiveness.  Develop strategy to stop dumping of non-compliant goods.  For projecting a positive image internationally as a country with quality production of the Electronics & IT goods. 4. From Plan to Implementation – Recommendations for Improving India’s NPE 4.1. Objectives and policy tools The NPE primarily sits within the context of India’s National Manufacturing Plan.227 This has five objectives, from the creation of 100 million jobs to increasing technological depth.228 In order to implement the Manufacturing Plan, the Planning Commission calls for a “new policy paradigm”. In this, the main role of the central government is to provide a space for multi-layered “Industrial Dialogues” in order to cope with the increasingly complex coordination requirements of networked industrial manufacturing. Thus, the paradigm of policy planning in manufacturing must shift from ‘planning as allocations’ to ‘planning as learning’; and from budgets and controls towards improving processes for consultation and coordination. In India we have already given up the paradigm of allocations and quotas and there is no question of reverting to it. 225 2.75 Indian Rupee (INR) is equal to 0.0421 United States Dollar (USD) INR-USD Exchange Rate of 0.0153 as of 15:52:20, 09 07 13 226 http://electronicstds.gov.in/CREITG/app_srv/tdc/gl/jsp/readmore.jsp 227 Planning Commission, 2012 , The Manufacturing Plan. Strategies for Accelerating Growth of Manufacturing in India in the 12th Five Year Plan and Beyond , http://planningcommission.gov.in/aboutus/committee/strgrp12/str_manu0304.pdf 228 Planning Commission, 2011, Faster, Sustainable and More Inclusive Growth. An Approach to the 12 th Five Year Plan, chapter 8 - Manufacturing Sector: p.84 76 However, having not mastered the other paradigm yet, we are not able to grow our manufacturing sector as fast as we could.229 A related sector policy, the National Telecom Policy (NTP) 230 was approved in May 2012, and includes initiatives like free roaming, national number portability, a new unified licensing regime for operators, and a push for expanded broadband usage. Of critical importance for India’s electronics manufacturing industry is that the NTP also seeks to increase local manufacturing of telecom equipment from its current embryonic state. In particular it seeks to “promote indigenous R&D, innovation and manufacturing to reduce dependency on imports and enhance exports.” The specific goal is to establish a “complete value chain for domestic production of telecommunication equipment to meet Indian telecom sector demand to the extent of 60% and 80% with a minimum value addition of 45% and 65% by the year 2017 and 2020 respectively”. It seeks to use preferential procurement, keeping consistent with key trade agreements, as well as stronger standards and IPRs to achieve these ends.231 However, while these are all laudable and long overdue initiatives, the policy document does not provide specific details regarding when and how the initiatives will be implemented. The NPE itself was approved by the Government of India in October 2012, and seeks to create “a globally competitive Electronic System Design and Manufacturing (ESDM) industry. ” The objective at the time was to increase “domestic production” to US$122 billion by 2017, with US$20 billion in exports.232 Expected direct employment effects were projected to be 3.5 million, while indirect employment effects were expected to add up to 6.5 million. It is noteworthy that the official notification of the NPE, published in November 2012, stated the significantly higher objectives for the overall ESDM industry, without specifying how much of those target figures would actually be attributable to electronics manufacturing. By 2020, the ESDM industry is targeted to achieve a turnover of US$400 billion involving investment of about US$100 billion, ESDM exports of US$80 billion (up from US$ 5.5.billion in 2012) and employment to around 28 million people.233 In addition to those quantitative targets, the NPE document seeks to accomplish a range of qualitative goals, including: improving governance mechanisms; creating robust institutional mechanisms for standards; fostering frugal innovation; and supporting innovative start-ups. These objectives are unusually ambitious, especially when compared to the speed of developing electronics manufacturing industries in economies such as Korea, China, and Taiwan. In less than ten years, India seeks to build an integrated domestic electronics value chain, a process that took decades in Korea, Taiwan and China. 229 Planning Commission, 2011: p.83 230 http://www.trai.gov.in/WriteReadData/userfiles/file/NTP%202012.pdf 231 http://www.trai.gov.in/WriteReadData/userfiles/file/NTP%202012.pdf : page 6 232 Ministry of Communications & Information Technology/Department of Information Technology, 2012, Report of the Working Group on Information Technology Sector Twelfth Five Year Plan: pages 110 and 111. http://planningcommission.gov.in/aboutus/committee/wrkgrp12/cit/wgrep_dit.pdf 233 NPE notification quoted in ELCINA, 2013, Directory of Indian Electronics Industry 2013, pages 50 to 56. 77 In order to achieve these very demanding objectives, the NPE policy document sets forth the following eight policy priorities: 1. Provide incentives for investment through a Modified Special Incentive Package Scheme (M-SIPS) 2. Setting up of Semiconductor Wafer Fabrication facilities 3. Preferential market access (PMA) to domestically manufactured electronic products 4. Provide incentives for setting up of 200 Electronic Manufacturing Clusters (EMCs) - setting up of greenfield EMCs and upgradation of brownfield EMCs 5. Establish a stable tax regime and market India as a destination to attract investments 6. Create a completely secure cyber ecosystem in the country 7. Implementation of e-waste (Management and Handling) Rules, 2011 8. To set up a National Electronic Mission. In terms of the financial requirements, the NPE budget for the period 2012 to 2017 is proposed to cover the an outlay of Rs.33,375 crore, or almost US$5 billion. Of this, almost a third is allocated to the semiconductor wafer initiative, almost two thirds to various incentive and infrastructure schemes, and the remainder to other initiatives. 4.2. Industry perceptions An important finding is that most firms know very little about the specific policy tools of NPE and the current status of their implementation. A typical response is that the announcement of NPE signals a long overdue step in the right direction. But most interviewees reserved judgment due to lack of information on when and how those policies would be implemented. Interviewees were most aware of PMA and the Electronics Development Fund (EDF) and rated such schemes as potentially useful for developing the industry, provided these schemes were executed properly. Only a few interviewees raised concerns about non-compliance with WTO obligations, and there were no suggestions that the Government needed to develop new and sophisticated policy approaches to trade, FDI, international standards and trade rules. This likely reflects the limited international orientation of India’s electronics manufacturing industry. The wafer fab scheme attracts much attention, but most interviewees confessed that they know little about the current status of implementation. Furthermore, the Fab policy invited very different responses as to how effective wafer fabs would be in developing the industry, and what specific types of fabs would deserve priority.234 Noteworthy exceptions to the lack of NPE awareness are a few companies that have a material interest in the development of a domestic electronics manufacturing industry. An illustrative example is the following quote from an international EDA tool provider: Yes, I am familiar. In fact, I was part of the report that was published by DEITy in 2009 (the task force report which was a joint effort by IESA, ELCINA, MAIT etc.). We had given 99 recommendations, which were cut down to 14 by DEITy. Five out of these 14 recommendations can be seen in the NPE which are: EMC (Electronics Manufacturing 234 For details, see discussion below in section 4.4 of this chapter. 78 Cluster), Fab Policy, Modified – Special Incentive Package Scheme (M-SIPS), PMA, and EDF. It is widely acknowledged by interviewees that, at least on paper, a holistic approach is now in place. There are however concerns that the Government is primarily driven by macro-economic factors and the widening trade gap, and that it neglects the fundamental structural flaws of India’s electronics manufacturing industry, primarily its weak and incomplete eco-system. According to a domestic EMS provider, [t]he Indian electronics hardware industry has a lot of missing links which are retarding its growth and only setting up of the whole ecosystem can stop that. Ideally this should have been done 25 years ago but better late than never. Look at the textile industry; we have the whole ecosystem and thus the industry is generating employment and is successful. The same is the case with automotive industry; it is doing most of the value addition in India itself. But the work done by the electronics industry is restricted to low value adding activities like assembly. There is a widespread consensus that components manufacturing is the most critical bottleneck that should deserve priority attention of the NPE. Most interviewees however emphasize a gradual approach to building a more integrated electronics ecosystem. 4.3. Priority products The NPE provides two somewhat conflicting objectives for the Government’s choice of Priority Products. On the one hand, there is the legacy of India’s public sector defense electronics complex. An important objective of NPE is “… [t]o progressively increase the domestic productio n of the requirements of strategic sectors, namely, defense, atomic energy and space through domestic production, through appropriate combination of public sector and private sector.”235 On the other hand, NPE places considerable emphasis on “large-volume” production, identifying explicitly the following five priority products:236 cheap “budget smart phones”; set-top boxes (STB); flat-panel displays (FPD) and tablets; optoelectronics (and especially LED); and smart meters (for deployment in smart electrical grids). The underlying assumption is that India’s huge demand for these devices and the projected rapid growth of these domestic markets will induce massive investments in large-scale production lines that will generate economies of scale and scope, and hence enable domestic production to compete with China-based mass production lines. As discussed in Chapter One of this study, there may still be some space in a few select industry segments for late entrants like India to focus on “high-volume, low-value” production lines. However, global transformations in information technology and markets are defining a new manufacturing imperative for India. Unlike China and other earlier industrial latecomers from Asia, India can no longer rely exclusively on “high volume, low cost” manufacturing as the main strategic option for expanding its electronics manufacturing industry. Instead, India’s NPE should 235 Ministry of Communications & Information Technology/Department of Information Technology, 2012, Report of the Working Group on Information Technology Sector Twelfth Five Year Plan : page 111. http://planningcommission.gov.in/aboutus/committee/wrkgrp12/cit/wgrep_dit.pdf 236 As reported in F&S and IESA 2013: page X 79 seek to create new space for an alternative industrial manufacturing paradigm - “low-volume, high- value” production. It is noteworthy that in the interviews, only a minority of respondents were clearly favoring this alternative “low-volume, high-value” manufacturing paradigm. They argued that a focus on low volume, high complexity products would face less immediate competition from China and would leverage India’s strengths in IC design and related capabilities. Examples of implementing such a low-volume, high-value manufacturing approach included frugal innovations in medical equipment and domestic production of strategic and defense products. The overwhelming majority of interviewees, however, insisted on emphasizing large-volume production, as “high volumes will incentivize development of component ecosystem”, as “components account for a huge chunk of India’s import bill”. Some respondents offered a more differentiated view, including some concrete suggestions. For example, some suggested adding smart cards to the NPE’s list of priority products. This suggestion came from both domestic smart card producers, and foreign (Japanese) producers of substrates. However, the same respondents noted that at present almost half of the domestic capacity for smart cards is lying idle, and that policies should focus on developing security standards that would provide disciplined protection for such production, rather than attempting a “race to the bottom” with huge subsidies to make production cost competitive. Another strong suggestion was to add medical devices to the list. Supporting this is the rapid growth of the Indian medical devices market valued at Rs.17,742 crore (US$3.3 billion) in 2011,237 but imports currently cover nearly three quarters of this demand. India faces a huge and rapidly growing need for affordable medical devices in the country. In addition, India’s stren gths in IC design could facilitate entry into the design and development of India-specific medical devices that meet the specific requirements of local conditions, i.e., “frugal innovation”. 4.4. The Semiconductor Wafer Fab Policy India’s attempts to establish domestic wafer fab lines have a long but checkered history. In 1983, the Government decided to establish a full-scale wafer fab, called The Semiconductor Complex Limited (SCL) in Chandigarh.238 Despite high expectations, the project failed to become a serious player in the wafer fab industry. In the early 1980s SCL entered into a technical collaboration with the American Microsystems Inc., but the fab remained far behind the leading-edge in wafer size and process technology. In 2007, the Government made yet another attempt and announced an ambitious plan to foster wafer fabrication along with the production of other products such as PV solar cells and liquid crystal display panels (LCDs). The initial response was limited. While the Government received proposals worth US$6.2 billion, none were for setting up chip manufacturing. In fact, SemIndia, a Consortium created to bid for a US$3 billion chip-making facility in Hyderabad, has never 237 F&S and IESA 2013: page XX 238 http://www.nti.org/facilities/44/#sthash.sCInMplj.dpuf 80 submitted its proposal.239 Negotiations with Intel, which had indicated its interest to invest in a wafer fab line in India, ended in failure, with Intel claiming that the “government dragged its heels on introducing an investment policy on semiconductors.”240 In response, and seeking to learn from these prior attempts, NPE’s Semiconductor Wafer Fab Policy was designed by a Committee, established in April 2011, to identify technology and investors for setting up two semiconductor wafer fabrication (Fab) manufacturing facilities.241 Fab-1 was intended to use “established technology to support fabrication of varieties of chips to meet the requirement of high volume products as well as the requirement of the fab-less design companies on pay per use basis. This activity may involve either setting up a plant in India with established technology or acquiring an existing fab abroad and its relocation to India. The Government support needed for either of the options would have to be negotiated.”242 In contrast, Fab-2 was to be set up “as a green field cutting edge state-of-the-art facility. This would require provisions for giving equity / grant to an established Integrated Device Manufacturer to establish its fabrication facility in India. The amount of equity / grant would have to be negotiated.”243 As for the budget, the Committee estimated that the two fabs would require an investment of roughly Rs.25,000 Crore (around US$5 billion), and adds: “The exact level of Government support could be finalized by way of negotiations. The Government support could be by way of equity/ grant/ subsidy in physical/ financial terms.”244 As the Wafer Fab policy is a signature plank of the NPE, it has received substantial attention during the interviews. Companies were specifically asked the following questions:  Would it facilitate your business if local fabs existed, i.e. would it reduce your cost, time- to-market, and would it facilitate investment in new product development?  What type of fab would be most conducive for your efforts to expand and upgrade their operations? o mature process technology based on second-hand fab equipment o analog fab o leading-edge digital wafer fab with minimum investment cost of $ 4 billion  Is it realistic to focus on leading-edge fabs? Should India try to mobilize the huge investments required for such bleeding-edge technology, given the extreme volatility especially of markets for memory devices? 239 DIT had received three proposals under the 2007 fab policy, including the first-ever LCD panel unit in India to be set up by Videocon at an investment of $1.8 billion. The other two were proposals for photo-voltaic cell manufacturing units by Moser Baer ($3.2 billion) and Titan Energy Systems ($1.2 billion). The department has also received 14 enquiries, of which 3-4 are for chip manufacturing. http://articles.economictimes.indiatimes.com/2007- 12-26/news/27683916_1_fab-unit-fab-policy-semiconductor-policy 240 “India Snoozed, Lost Intel Chip Plant”, http://www.forbes.com/2007/09/06/intel-india-china-markets-equity- cx_rd_0906markets1.html 241 DEITy, 2011, Empowered Committee for identifying technology and investors for setting up of Semiconductor Wafer Fabrication (Fab) Manufacturing Facilities in the country , http://deity.gov.in/sites/upload_files/dit/files/PressRelease.pdf 242 Ibid.: p.2 243 Ibid.: pages 2,3 244 Ibid: page 3 81  Would it be more realistic to focus on analog fabs that need less advanced process technology than leading-edge digital wafer fabs? Most interviewees accepted the strategic rationale for investing in a diverse portfolio of domestic fabs in order to reduce the unsustainably high import dependence on semiconductors. Setting up wafer fab lines in India would help to reduce the cost and the time involved in procuring semiconductor chips from abroad, which will be especially useful for manufacturing start-ups. As semiconductors are strategic components, having virtually no manufacturing presence might lead to future over-reliance on imports. The huge import bills for semiconductors which are expected to keep growing can be reduced if domestic wafer fab capabilities are established. The establishment of a fab would also be a critical step in creating an integrated value chain for electronics in India, and the experience gained by the Indian design companies when working in close coordination with domestic fabs will add significantly to their design capabilities But many interviewees also expressed concern whether an effective execution strategy is in place to cope with substantial implementation barriers. Not only would it be necessary to import the extremely costly fab production equipment, but India also would need to import the intangible knowledge that is necessary to run fabs cost-effectively. As a result, the overall life-cycle cost of running a leading-edge fab would likely be enormous. And this is an industry that is extremely cyclical and often quite unpredictable. In addition, India’s poor logistics network may often mean that less time may be required to procure chips from Taiwan or even China than for domestic procurement. There is excess capacity available at most fabs in China and Japan, so running a profitable fab may not be easy. Overall, there is a widespread concern that the very high capital expenditure involved and the excess capacity available globally would make it very difficult to generate a fast payback on the investment. In short, investing in domestic wafer fab lines, especially those for leading-edge 450mm wafer with 22nm technology and below, poses huge risks. One such risk is power disruption - even slight disruptions in power supply can have devastating effects on yields, and may require costly and time-consuming recalibration of equipment. Another fundamental risk is the extremely high water consumption of wafer fabs. For instance, a leading-edge wafer fab today “uses anywhere between 2 to 4 million gallons of very, very pure water — we call it ultrapure water — per day, and that, on the average, is roughly equivalent to the water usage of a city of maybe 40,000 to 50,000 people.”245 In light of India’s severe water shortage,246 this is hardly an attractive proposition. The water shortage is especially severe in Bangalore,247 which initially was one of the primary candidates for locating India’s wafer fab. As a result, on August 26, 2013, the State government 245 Dr. Farhang Shadman, director of the University of Arizona’s specialized semiconductor research lab, quoted in http://www.gereports.com/ultrapure-water-for-ultra-advanced-semiconductor-fab/ 246 “India faces a crippling water crisis”, Deutsche Welle, May 29, 2013, http://www.dw.de/india-faces-a-crippling- water-crisis/a-16844835 247 In a recent discussion on Bangalore’s water crisis, the Chairman of the Bangalore Water Supply and S ewage Treatment Board, warned: “If you are taking a property in Bengaluru, especially in the peripheral areas, take at 82 of Karnataka stated: “Much as we would have wanted the prestigious project to be based in Bangalore, which has perhaps the best ecosystem for electronics manufacturing in the country, we would be unable to host it because of the heavy demand it would place on water resources.”248 Another fundamental risk is that India, as a latecomer to wafer fabrication, will need quite some time and significant investments to develop a capacity for handling the toxic waste that accompanies wafer fabrication.249 Some interviewees emphasize that establishing diverse domestic fabs will take time. All the more important would it be to develop a portfolio of diverse policies with different time perspectives, with the careful selection of pilot projects that will produce results soon. No final decisions have been made at the time of writing this study, and decisions and policy statements seem to be in continued flux. On September 13, the Indian Government, chaired by the Prime Minister has given “in-principle approval” of the two competing consortia offers of setting- up two chip fabrication units.250 Yet, few details have been announced since then. According to India’s Telecom Minister, “Cabinet has in-principle also approved that incentives that will be given to these players, will be offered to other players (as well) who are interested in setting up semiconductor plant here… Incentives...are already covered under existing policies, which account for about 62 per cent and the balance 38 per cent is in form of loan provision, which is refundable. The burden on government will be only interest charges.” In short, the formal announcement is yet to come – the waiting is not yet over. As for the level of Government support for these units, this will be decided through future negotiation with chip makers. This is where negotiations with Intel in 2007 were before they went astray. If a decision would be taken that one of the fabs would be producing analog devices, this would have quite substantial advantages:  Cost effectiveness: Analog fabs are much more cost effective than digital fabs. While the digital fab may cost billions of dollars in just the setup costs, not to mention the millions to be spent in operational expenses each year, an analog fab can be set up in the cost range of hundreds of millions of dollars.  Close co-ordination and design skills: Analog chip design involves close coordination with the chip manufacturer and in this regard, having a local fab can help tremendously in growing the capabilities of the Indian design industry. The strategy for a pragmatic approach is in line with research on the economics of wafer fabrication. For instance, a leading-edge 300mm Fab typically requires a US$9 - US$12 billion your own risk! We really don’t have water for those areas.” http://globalvoicesonline.org/2013/07/26/water- shortage-crisis-looms-large-in-bangalore-india/ 248 I.S.N. Prasad, Principal Secretary, IT, Biotechnology and DScience and Technology, quoted on The Hindu, http://www.thehindu.com/news/cities/bangalore/bangalore-out-of-electronic-chip-fabrication-unit- race/article5048379.ece 249 For an analysis of toxic chemicals used in Semiconductor production, see Holden, J. et al, The Environmental Effects of the Manufacturing of Semiconductors, http://cnx.org/content/m14503/latest/ 250 “Approved: India to get two chip fabs”, EET India, September 13, 2013 83 revenue threshold.251 That threshold is substantially higher still for the emerging 450mm Fabs. India is at least 5 to 10 years away from being such a market. In addition, if one takes into account the widely discussed technical challenges that even the global industry leader Intel faces in its transition to 22nm and 14 nm process technology, then it becomes clear why leapfrogging into 22nm fabs with 450mm wafer size is unlikely to be a realistic proposition for India, versus a strategy of diversified fabs, while capabilities are built up. 4.5. Industry views and recommendations on other NPE support policies On paper, the Modified Special Incentive Package Scheme (M-SIPS) scheme is an ambitious incentive package to “offset disability and attract investments in large-scale manufacturing in the Electronics System Design and Manufacturing (ESDM) Industries.”252 The scheme “provides subsidy for investments in capital expenditure – 20% for investments in SEZs and 25% in non- SEZs. It also provides for reimbursement of CVD/excise for capital equipment for the non-SEZ units. For high technology and high capital investment units, like fabs, reimbursement of central taxes and duties is also provided. The incentives are available for investments made in a project within a period of ten years from the date of approval.” Until 2020, the NPE seeks to attract investments of around US$100 billion, leaving open however how much of this should go specifically into electronics manufacturing. For the FY2013/2014, a target has been set to attract investments of Rs.25,000 crore (approximately US$4 billion). However, by August 2013, the government has received investment proposals worth roughly Rs.4,600 crore (or US$700 million) awaiting clearance, less than 20 percent of the budget allocation. In contrast to the optimism projected by the Ministry, most interviewees confessed to know little about the NPE’s M-SIPS, and thus were reluctant to share their assessments. As this is a central building block of implementing NPE, this low awareness is a worrying finding. To implement NPE and to increase its effectiveness, the Government would need to simplify the current somewhat ambiguous M-SIPS scheme. Equally important, the Government, together with industry associations, needs to make a concerted effort to disseminate information on this potentially important incentive scheme. An important objective of NPE is to “provide world-class infrastructure for attracting investments in the Electronics Systems Design and Manufacturing (ESDM) sector..., [to] … encourage development of entrepreneurial ecosystem, drive innovation and catalyze the growth of electronics manufacturing.”253 The proposed Electronics Manufacturing Clusters (EMC) scheme “would 251 Presentation by Brian Krzanich, then Senior Vice President and Chief Operating Officer, Intel at 2012 Investor Forum. http://intelstudios.edgesuite.net/im/2012/pdf/2012_Intel_Investor_Meeting_Krzanich.pdf. Mr. Krzanich is now Intels’s new CEO. 252 DEITy, 2012, Modified Special Incentive Package Scheme (M-SIPS), July 27, http://deity.gov.in/sites/upload_files/dit/files/MSIPS%20Notification.pdf 253 DEITy, 2012, Electronics Manufacturing Cluster Scheme (EMC), http://deity.gov.in/sites/upload_files/dit/files/Scan_EMC-Notification-Gazette.pdf; DEITy, 2013, Guidelines for Operation of Electronics Manufacturing Cluster Scheme (EMC), http://deity.gov.in/sites/upload_files/dit/files/EMC- Guidlines_Final.pdf 84 support setting up both Greenfield (new) and Brownfield (existing clusters) EMCs.”254 For Greenfield EMCs, “the assistance will be restricted to 50% of the project cost subject to a ceiling of Rs.50 crore (US$10 million) for every 100 acres of land. For Brownfield EMCs the assistance will be restricted to 75% of the project cost subject to a ceiling of Rs.50 crore.”255 In the interviews, companies were asked whether the proposed EMC scheme could replicate the earlier success of similar schemes for software, and how it should differ from them. Most respondents cited major problems with the scheme such as its potential for creating land scams, the unrealistic selection of cluster locations, and the unattractive conditions for EMCs, especially start-ups. A minority of respondents agreed that clusters are necessary as islands of good infrastructure in a developing economy, and pointed to the success of India’s car components industry, and the huge benefits that Taiwanese and Chinese firms historically were able to reap from such manufacturing zones. Overall, the scheme replicates many of the features of the Scheme for Integrated Textile Parks (SITP), originated by the Ministry of Textiles. This scheme has been notably more successful than prior programs to develop industrial parks and zones in India, and has in fact avoided some of the problems that respondents identified.256 On the one hand, this could indicate that – in line with a common theme – firms are not fully aware of the details of the program. On the other, the EMCs have differed from the SITP on a few crucial dimensions, including allowing a more active role in location selection by organizations that are not entrepreneurs themselves (e.g., state industrial development corporations and associations). These may be the causes for the reported unattractive locations of the currently projected EMCs. To improve the effectiveness of the EMC scheme, the state governments need to adjust and tweak the EMC program, preferably through the type of industrial dialogue process described above. The Electronics Development Fund (EDF) could potentially help to strengthen the weak innovation capacity of India’s electronics manufacturing industry. It would create a dedicated fund to support seed, angel and venture funding, with an initial corpus of Rs.5,000 crore (approximately US$800 million). This proposed fund is now with Ministry of Finance, and, at present, its status is still under discussion. In principle, the EDF could become a powerful policy tool to foster the upgrading of India’s electronics industry through innovation. However, to strengthen the impact of EDF, experience from elsewhere suggests the importance of emphasizing commercial success criteria as well as institutional innovations that facilitate this focus. 254 For regulations on Brownfield clustersclsusters and for a list of probably Greenfield clusters, see DEITy, 2013, Guidelines for notifying Brownfield Clusters under M-SIPS, http://deity.gov.in/sites/upload_files/dit/files/Guidelines%20for%20notifying%20Brownfield%20Clusters%20under %20M-SIPS,%20DeitY%20,2013.pdf , and DEITy, 2013, List of probable Greenfield Clusters, http://deity.gov.in/sites/upload_files/dit/files/Probable%20Greenfield%20clusters(2).pdf 255 DEITy, 2012, Electronics Manufacturing Cluster Scheme (EMC), http://deity.gov.in/sites/upload_files/dit/files/Scan_EMC-Notification-Gazette.pdf 256 Jordan, L.S., and Saleman, Y., 2013, “The Implementation of Industrial Parks: Some Lessons Learned in India,” The World Bank, forthcoming. 85 Lessons could be learned from other innovation funds worldwide – both those specific to the electronics manufacturing industry and broader ones. In the US, for instance, the Small Business Innovation Research Program (SBIR) is one of the most effective tools in the US government’s kit for stimulating innovation. SBIR is the world’s largest seed capital program for science and technology businesses. As a source of early stage finance, SBIR is probably at least as important in value terms as venture capital. However, unlike most venture capital investments, SBIR awards are available from right at the start of a business’s life.257 Europe’s Framework Programs for Research and Technological Development provide another possible benchmark for structuring India’s EDF program.258 This latest (eight) Framework Program is shaped by a new policy approach, called “Smart Specialization” which seeks to develop a more bottom-up approach to industrial policy that focuses on “entrepreneurial discovery” – an interactive process in which market forces and the private sector are discovering and producing information about new activities and the government assesses the outcomes and empowers those actors most capable of realising the potential.259 Yet another possible benchmark is China, where the Government (through MIIT and NDRC) has created a US$20 billion national investment fund for developing the indigenous semiconductor industry in Beijing, Shenzhen and Chengdu.260 This fund is supposed to cover the whole industry value chain (design, manufacturing, R&D, and industry consolidation/overseas mergers and acquisitions). Of interest to India is that the above fund will be managed through a private investment company.261 A draft proposal, published by DEITy in November 2012, highlights the following ambitious objectives of EDF: There is an urgent need for intervention to promote and develop innovation, R&D, Indian IPR and manufacturing within the country for electronic products, which include telecom products, especially those having security implications. … The fund may be leveraged to acquire foreign companies so as to shift the production of products currently imported in large volumes, into the country. Some of the PSUs which are well 257 Connell, David, 2006, ‘Secrets’ of the World’s Largest Seed Capital Fund: How the United States Government Uses its Small Business Innovation Research (SBIR) Programme and Procurement Budgets to Support Small Technology Firms, Centre for Business Research, University of Cambridge, July, page 1.: pages 2 and 3). For an evaluation, see Wessner, C. W. (ed.), 2008, An Assessment of the SBIR Program, Committee on Capitalizing on Science, Technology, and Innovation: An Assessment of the Small Business Innovation Research Program, National Research Council, Washington, D.C. 258 The current eighth Framework Program, the so-called Horizon 2020 program has a budget of nearly €80 billion of funding available over 7 years (2014 to 2020) – in addition to the private investment that this money is expected to attract. http://ec.europa.eu/programmes/horizon2020/en/what-horizon-2020 259 OECD, 2013, Innovation-driven Growth in Regions: The Role of Smart Specialisation. Preliminary Version, OECD, Paris. 260 Ernst, 2014: page 11. 261 The fund will exit five years after the investment is made, with a possible three-year extension. Sub-funds are to be established by the main fund and partners, with a period of no less than 8 years. The Government (MIIT) will select two fund management companies to raise, invest, and manage the Fund. One fund management company would be responsible for the main fund (including the sub-fund for IC manufacturing), and another management company would be responsible for the IC design sub-fund. (Author’s interviews, January 2014). 86 positioned may take a lead role and venture into such acquisitions. The fund would be managed professionally and accessible to both Government and private sector.262 Specifically, the EDF proposal recommends funding a quite extensive list of priority activities related to electronics R&D. A few examples are263: the design and fabrication of an Indian Microprocessor; the creation of a Manufacturing Value Addition Fund, to provide interest-linked subsidies linked to domestic value addition; a seed fund to support start-ups; a fund to provide multiplier grants for industry-academia linkages; a focused venture fund; and an equity / venture fund to nurture solar PV start-ups and research projects. However, there are only a few suggestions on how administrative processes and communication with industry would need to change, in order to facilitate an efficient and speedy implementation of the EDF proposals. In the interviews, companies were asked what they know about the current status of EDF, and how the EDF would need to be organized so that it could facilitate the entry of innovative start-up companies into India’s electronics manufacturing industry. Most interviewees acknowledged that they knew little about the details and current status of the EDF scheme. Voices from industry display strong expectations mixed with quite substantial doubts about whether such an ambitious scheme can be successfully and fairly implemented. However, such doubts should not be taken as a fundamental barrier. Indeed, foreign venture capital funds are themselves expressing interest in the EDF, and it may become one of the highest impact initiatives within the NPE. What is clear is that if a fundamentally strengthened implementation process is needed anywhere, it is with the EDF. As such, the committees and working groups that will be necessary for its implementation will deserve sustained consideration, tilting strongly towards the newer models of industry dialogue recently seen, rather than the older “business as usual” models (see sections above). 5. Additional Policy Recommendations 5.1. Framework for policy recommendations To enhance the effectiveness of NPE, both the Central government and the State governments would need to implement the following additional policy recommendations. It is useful to distinguish between “priority actions” and “fundamental process changes.” “Priority actions” are actionable specific changes in regulation or support policies, e.g., implementing a national GST, engaging with and co-shaping ITA-2, increasing awareness of NPE, and cutting tariffs on components. 262 DEITy, 2012, Draft Project Report on the “Electronics Development Fund” (EDF), 20 November http://www.ipcaindia.org/pdffiles/draft%20DPR_version%20Nov2_11192011_AK.pdf 263 DEITy, 2012, Draft Project Report on the “Electronics Development Fund” (EDF), 20 November: pages 9 following, http://www.ipcaindia.org/pdffiles/draft%20DPR_version%20Nov2_11192011_AK.pdf 87 “Fundamental process changes” involve ongoing longer-term changes, e.g., revamping India’s standardization system and the structure of standards-development organizations, generating more sophisticated trade diplomacy, implementing a different inspection and dispute-resolution regime for customs, and improving the existing architecture for electronics-industry working groups. A distinction can also be made between outward facing and domestic recommendations, with the former relating primarily to trade and FDI policy, as well as interactions with global public organizations, such as the WTO, and international industry associations, such as private standards consortia and the World Semiconductor Council. In turn, domestic recommendations relate primarily to regulatory changes, support policies, and the interaction between government and diverse segments of India’s electronics industry. Needless to say, these distinctions are not binary opposites, as some actions will fall somewhere in between the poles. The most notable example is standards: it is both outward facing, as being globally set and a tool for trade policy, and inward facing, as a means to induce higher quality and improved safety locally. Standards is also both a discrete action, with specific standards needed urgently in such areas as medical electronics, and an ongoing process, as the standards setting architecture needs to be revamped and the attitude to standards shift to be more strategic. Finally, these categories depend on each other: most of the priority actions will not have long-term impact without process changes, but likewise the process changes will be difficult to implement without some priority target to create momentum for change and root them in action. An example is tariffs and trade policy, which will have little long-term impact if firms continue to spend much of their management time at customs arguing if an LCD module is duty free or not or managing a web of shell companies to circumvent regulations. 5.2. What is success? Measuring industrial upgrading264 In general terms, industrial upgrading is about linking improvements in specialization, local value- added, and forward and backward linkages265 with improvements in learning, absorptive capacity and innovative capabilities. For India’s electronics manufacturing industry, two aspects of industrial upgrading are of greatest policy relevance: “firm-level upgrading” from low-end to higher-end products and value chain stages, and “industry-level linkages” with support industries, universities and research institutes. For India, the challenge is to enable firm-level and industry-level upgrading to interact in a mutually reinforcing way, so that both types of upgrading will give rise to a “virtuous circle”. “Firm-level upgrading” is the key dimension - without it, there is little hope that India can fast- track the growth of its electronics industry. In other words, Indian firms must develop the capabilities, business models and organization that will allow them to strengthen their absorptive capacity and innovative capabilities. This requires important adjustments in corporate strategy. 264 For an economic analysis of “Industrial Upgrading” Ernst, D., 2010, “Upgrading through innovation in a small network economy: insights from Taiwan’s OT industry”, Economics of Innovation and New Technology, Vol.19, No.4, June: pages 295-324. 265 As defined in Hirschman, A.O., 1958. Strategy of Economic Development, New Haven: Yale, University Press. chapter 6. 88 But for firm-level upgrading to succeed, upgrading must take place simultaneously at the level of “industry linkages”. As Powell and Grodal observe, “collaboration across multiple boundaries and institutional forms” is the norm today, and innovation networks “… are now core components of corporate strategy.”266 This reflects the growing geographic mobility of knowledge and the emergence of IT-enabled governance mechanisms to orchestrate distributed knowledge. To broaden the pool of firms that are fit for sustained firm-level upgrading, India’s government needs to foster strong support industries and dense linkages with universities and research institutes. Finding the right balance between firm-level and industry-level upgrading poses a continuous challenge for policy makers and corporate planners - the “right balance” is a moving target, it is context-specific and requires permanent adjustments to changes in markets and technology. A strategy that neglects one element at the detriment of the others is unlikely to create sustainable gains. The stronger the links between those two elements, and the better they fit, the greater are the chances that Indian firms can shape markets, prices and technology road maps. In addition, three other forms of “industrial upgrading” may help to guide India’s revised NPE strategy: (i) inter-industry upgrading proceeding from low value-added industries (e.g. light industries) to higher value-added industries (e.g. heavy and higher-tech industries); (ii) inter-factor upgrading proceeding from endowed assets (i.e., natural resources and unskilled labor) to created assets (physical capital, skilled labor, social capital); and (iii) upgrading of demand within a hierarchy of consumption, proceeding from necessities to conveniences to luxury goods.267 5.3. Establishing a robust national standard development infrastructure The study’s review of India’s current government initiatives in standard development clearly indicates that, although standards are now receiving greater attention, more can and should be done, given the importance of standards and the distance left to travel. The government may want to concentrate on the following immediate priority actions. First, both the government and the private sector need to mobilize substantial resources (both financial and human) to strengthen and upgrade standard-setting organizations especially for NPE priority products, such as medical devices. This will improve the competitiveness of Indian firms against low-cost low-quality imports, especially from China. Such standards should be set at high quality levels, both to ensure safety and to create a source of discipline for local firms, which will have to meet such stringent quality levels to benefit from the protection from low-quality imports. 266 Powell, W.W. and S. Grodal, “Networks of Innovators”, chapter 3 in: Fagerberg, J., D.C. Mowery and R.R. Nelson (eds.), 2004, The Oxford Handbook of Innovation, Oxford University Press, p. 57,58. 267 See Ozawa (2000) for a discussion of upgrading taxonomies. Most research has focused on a combination of the first two forms of IU, based on a distinction between low-wage, low-skill “sun-set” industries and high-wage, high- skill “sunrise” industries. Such simple dichotomies however have failed to produce convincing results, for two reasons: First, there are low-wage, low-skill value stages in even the most high-tech industry, and high-wage, high- skill activities exist even in so-called traditional industries like textiles. And second, both the capability requirements and the boundaries of a particular “industry” keep changing over time. An example is the transformation of the personal computer industry from an R&D-intensive high tech industry to a commodity producer that depends on the optimization of supply chain management. (Ozawa, T. 2000. “The ‘Flying -Geese Paradigm: Toward a Co- evolutionary Theory of MNC-Assisted Growth”, in: K. Fatemi (ed.), The New World Order: Internationalism, Regionalism and the Multinational Corporations, Amsterdam and New York: Pergamon). 89 Second, specialized public-private working groups need to identify areas where substantial savings can be achieved on the costs of standards development, testing and certification. At the moment, without trusted standards, firms have to run tests for every high quality customer and for all regulatory purposes. Not only are those over-lapping and repetitive testing requirements too costly, especially for SMEs, but they also substantially reduce the firms’ ability for quick response and flexibility. Third, support policies need to target those firms which are active in developing, implementing and using national and international quality standards, and provide them with privileged treatment, in terms of access to incentives and eligibility of support policies. Benchmarking firms according to their standardization capabilities should be used to enhance the impact of such policies. ITU, ETRI and other organizations have developed operational measures of firm-level standardization capabilities.268 This would make it possible to move beyond spreading such incentives like a watering can evenly over the entire target group without considering the urgency of potentially different individual cases. In other words, high standardization capabilies can be used as a filter for selecting firms that should be primarily targetted by incentives and industrial support policies. Fourth, and outward facing, India’s standard development organizations as well as private firms should should take a much more active approach to learning from best practice policies, procedures and organizational approaches developed elsewhere through strategic use of international cooperation. In fact, standard development organizations in the US, the EU, Japan, Taiwan and Korea are all eager to deepen their links with India’s standard development organizations, especially in the information technology and electronics industries. As a first concrete step, it is suggested that a series of specialized workshops are organized with DEITy and relevant industry associations that would start with a roundtable workshop featuring independent standardization experts from countries like Germany, Japan, the US, Korea, Malaysia, Singapore, and China. These high-level expert workshops could be followed by a series of hands- on traning courses for Indian standards experts in the private sector and as well as state governments and Central government agencies that are involved in the formulation and implementation of India’s NPE. Another concrete suggestion is to create an India - European Institute of Standards and Innovation with two campuses: one in India (possibly linked to a leading IIIM or the IIST in Banaglore) and one in Europe (possibly linked to ETSI). The charter of such an institute would be to train engineers, executives, technicians, government officials, and academics from both countries. The institute would also provide technical consulting services to enable both Indian and European companies to solve problems that arise from dealing with the differing standards systems in the two countries. Similar forms of international cooperation should be considered with the IEEE- SA, and some private standards consortia that are eager to strengthen their position in the Indian electronics industry. 268 ITU, 2012, ICT Standardization Capabilities of Developing Countries Bridging the Standardization Gaphttp://www.itu.int/en/ITU-T/gap/Pages/default.aspx. 90 Overall, as senior officials in the Government of India have acknowledged, India faces a capability gap in standards that it does not in other areas of policy formation for electronics. Whereas in setting semiconductor policy, for example, there is a large diaspora of talented engineers who can provide substantial input, Indian talent – whether local or diaspora – has traditionally played a limited role in international standards bodies. It is thus urgent to start building a base of capabilities for a strategic approach to standards, and to start putting in place the processes and dialogues that can harness and support those capabilities. 5.4. Government procurement Government procurement needs to strengthen as an important instrument of India’s NPE. As reported in Chapter Two, India still lacks a central law on the subject of government procurement, despite the fact that government procurement accounts for up to 30 percent of India’s GDP. This would need to change. In addition, India needs to play a more active role in the WTO-GPA – the current status of observer is no longer sufficient to enhance India’s interests in the electronics manufacturing industry. In fact, the WTO-GPA allows many exceptions, such as small and medium sized enterprises and national security.269 Research on GPA documents indicates that if a country like the US, United Kingdom, or Germany is sophisticated in how it uses the many exceptions, GPA membership provides an extraordinary amount of flexibility and fairly rich benefits. In the US, the SBIR program makes effective use of GPA exceptions. The US also makes ample use of exceptions due to national security and homeland security concerns through DARPA and the Department of Homeland Security. Debates about India’s government procurement policy make no reference to the opportunities that these exceptions offer. In fact, proponents of “open procurement” in India fail to acknowledge that the US has made ample use of this highly sophisticated approach to government procurement.270 5.5. Strengthening the absorptive capacity of Indian firms Policies need to focus on strengthening India’s “absorptive capacity”. R&D is critical. Especially for process technology development, to strengthen the ability of Indian firms “to recognize the value of new external information, assimilate it, and apply it … to do something quite different.”271 To strengthen India’s absorptive capacity in electronics manufacturing, it is necessary to coordinate policies between the Center and the States that affect investment, innovation, FDI and trade. These policies need to be broad-based, and should encompass regulations; investment promotion; R&D tax credits; industrial support policies to foster firm-level managerial and technological capabilities; patient innovation finance; standard development and certification; 269 This draws on the discussion of Government Procurement Regulations, in Ernst, D., 2011, Indigenous Innovation and Globalization: The Challenge for China's Standardization Strategy, UC Institute on Global Conflict and Cooperation; La Jolla, CA and East-West Center, Honolulu, HI.: pages 58-62 270 Gansler, J.S.,, 2011,Democracy’s Arsenal. Creating a Twenty-First-Century Defense Industry, MIT Press, Cambridge Mass. For illustrative examples, see Canadian Manufacturers and Exporters (CME), 2012, Strategic Government Procurement Driving business investment and innovation through strategic government procurement, September, http://www.cme-mec.ca/download.php?file=h8tlkaeo.pdf 271 Cohen, W.M. and D.A. Levinthal, 1990, “Absorptive capacity: A New Perspective on Learning and Innovation”, Administrative Science Quarterly, 35: 128-152. 91 industrial collective research consortia; industrial associations and research centers; university- industry collaborations; and trade diplomacy. 5.6. Flexible policy implementation Finally, flexible policy implementation is critical to make NPE work. A broad portfolio of diverse policy approaches is required to enable India’s electronics firms to increase the gains from NPE. The mix of policies will differ across sectors, product groups and regions. And the appropriate policy mix will have to evolve over time. 6. Last Thoughts - The Growing Importance of International Trade Diplomacy Chapter Two has documented the negative impact of India’s inverted tariff structure on the growth of India’s electronics manufacturing industry. In line with these findings, Chapter Three documents that almost all interviewees singled out the inverted tariff structure as a major barrier to investment in this industry. This indicates that policies to fast-track India’s electronics industry need to place considerable effort on developing smart approaches to international trade diplomacy. To start with, it should be emphasized that trade diplomacy has an important domestic component. The findings of this study indicate that it is time now for the government to reconsider whether the IT services sector still needs to receive absolute priority attention in negotiations about trade agreements. One could argue in fact that the focus now needs to shift to the thus far neglected domestic electronics manufacturing industry and its needs. Furthermore, a new approach to trade diplomacy would focus on negotiating revisions in the Information Technology Agreement (ITA) that acknowledge and correct the asymmetric effects that ITA has had on cost structures and capabilities of India’s electronics manufacturing industry.272 Plurilateral agreements like ITA should allow for special and differential treatment of latecomers.273 Bold action is required to avoid zero-sum or even negative-sum game outcomes and the resultant trade conflicts. Thus far, policy debates on the distribution of trade gains and costs have focused on negotiating “Special and Differential” (SD) arrangements (delays, phase-outs for product coverage, etc.). However, there seems to be limited scope for expanding special and differential arrangements. For instance, in the case of ITA-2, long phase-outs for product coverage for large emerging economies like China may “make the expanded agreement irrelevant due to the quick pace of technological change.”274 272 Ernst, D., 2013, Is the Information Technology Agreement (ITA) Facilitating Latecomer Manufacturing and Innovation? India’s Experience, Think piece for the E -15 Expert Group Meeting, Geneva, October 3-4. 273 According to Mari Pangestu, a prominent trade economist from Indonesia, plurilateral agreements “… should promote economic and technical cooperation recognising the different stages of development of participants. Special and differential treatment can be justified in circumstances where participants face challenges in benefitting from an increase in trade.”, http://ictsd.org/publications/latest-pubs/dg2013/mari-pangestu/ 274 Ian Steff, VP for global policy and technology partnerships at SIA, quoted in “In ITA Talks, Semiconductors emerge as Sticking Point with China.”, World Trade Online, November 4, 2013, http://insidetrade.com/201311042451780/WTO-Daily-News/Daily-News/in-ita-talks-semiconductors-emerge-as- sticking-point-with-china/menu-id-948.html 92 For future policy debates, this raises questions like: What changes are necessary in domestic regulations as well as in industrial and innovation policies to reap the potential benefits of ITA trade liberalization? And, equally important: How does a country’s innovation capacity in a particular industry affect its approach to, and its position in, multilateral and plurilateral trade agreements? In the end, a broad portfolio of diverse policy approaches is required to enable India’s electronics industry to increase the gains from ITA participation. The trade–related policy tools developed as part of India’s NPE fall far short of such an agenda. In India’s Foreign Trade Policy Plan, released in May 2012 by the Director General of Foreign Trade and Additional Secretary to the Government of India, the electronics industry ranks low (as Number 10 out of 14 industries).275 The following priority trade policy initiatives for the electronics industry largely build on existing policy schemes:  Export of electronic goods to be incentivized under Focus Product Scheme.  Expeditious clearance of approvals required from DGFT shall be ensured.  Exporters /Associations would be entitled to utilize MAI & MDA Schemes for promoting Electronics and IT Hardware Manufacturing Industries exports.  Electronics Sector included for benefits under SHIS scheme.276 Finally, on ITA and India’s FTAs, the NPE does not seem to have introduced new initiatives that would address India’s new trade diplomacy requirements. Echoing the comments again, this fundamentally important area would seem to be a natural place to deploy new and strengthened mechanisms for industrial dialogue. Such a concerted effort could also reap substantial symmetries with an outward-looking, strategic approach to standardization, which could both provide disciplined and smart protection as well as push Indian firms and institutions to a more active role in international standards bodies. India’s engagement with the institutions that shape the global industry is a critically important complement to the more high-profile efforts to build fabs and provide incentives. Such a two- pronged strategy would likely provide an enduring and sustainable boost to the electronics manufacturing industry in India. 275 http://deity.gov.in/sites/upload_files/dit/files/Foreign%20Trade%20Policy%20(2012)%20(w_e_f_%2005_06_201 2)%20(651%20MB).pdf 276 Ibid: page 14. 93 APPENDIX ONE: FIGURES AND TABLES Table 1: India’s Imports, 12 Months to March 2013 (tn rupees)277 Table 2: Preliminary Worldwide PC-vendor Unit-shipment Estimates for Q1 2013278 Company Q1 2013 Q1 2013 Q1 2012 Q1 2012 Q1 2012– Shipments Market Shipments Market Q1 20123 Share (%) Share (%) Growth (%) HP 11,687,778 14.8 15,301,906 17.2 -23.6 Lenovo 11,666,400 14.7 11,652,664 13.1 0.1 Dell 8,734,892 11.0 9,838,121 11.0 -11.2 Acer Group 6,843,184 8.6 9,582,046 10.9 -29.3 Asus 5,360,470 6.8 5,552,329 6.2 -3.5 Others 34,914,286 44.1 37,170,712 41.6 -6.1 Total 79,207,010 100.0 89,097,778 100.0 -11.1 277 Directorate General of Foreign Trade (GFT) India data, as quoted in Thomson Reuters Datastream “Gartner Says Worldwide PC Shipments in the First Quarter of 2013 Drop to Lowest Levels Since Second 278 Quarter of 2009” Gartner (April 10, 2013) http://www.gartner.com/newsroom/id/2420816. Used with permission. Data includes desk-based PCs and mobile PCs including mini-notebooks but not media tablets such as the iPad. 94 Table 3: Worldwide Smart Phone Shipments279 OS Vendor 2012 2017 Android 67.7% 67.1% Apple 19.5% 14.1% Microsoft 2.4% 12.7% BlackBerry 4.8% 4.6% Others 5.6% 1.5% Grand Total 100% 100% Table 4: Top Five Smartphone Vendors, Shipments, and Market Share, Q1 2013 (in millions of units)280 Vendor Q1 2013 Q1 2013 Q1 2012 Q1 2012 Year-over- Unit Market Share Unit Market Share year Change Shipments Shipments Samsung 70.7 32.7% 44.0 28.8% 60.7% Apple 37.4 17.3% 35.1 23.0% 6.6% LG 10.3 4.8% 4.9 3.2% 110.2% Huawei 9.9 4.6% 5.1 3.3% 94.1% ZTE 9.1 4.2% 6.1 4.0% 49.2% Others 78.8 36.4% 57.5 37.7% 37.0% Total 216.2 100.0% 152.7 100.0% 41.6% Table 5: Key Indian Electronics Markets Dominated by a Handful of MNCs 281 Market Companies PCs HP, IBM, Apple, Acer, Lenovo, Dell Telecom equipment Alcatel-Lucent, Ericsson, Nokia, Huawei, Cisco, Juniper Flat-panel televisions Samsung, LG, Sharp, Panasonic, Sony, Toshiba, Hitachi Semiconductors Intel, Samsung, Texas Instruments, Toshiba, AMD, ST Microelectronics, Analog Devices Mobile handsets Apple, Samsung, Nokia, RIM, LG 279 http://www.canalys.com/newsroom/over-1-billion-android-based- smart-phones-ship-2017. Used with permission. 280 IDC Worldwide Mobile Phone Tracker, April 25, 2013; http://www.idc.com/getdoc.jsp?containerId=prUS24085413. Used with permission. Data are preliminary and subject to change. Vendor shipments are branded shipments and exclude OEM sales for all vendors. 281 According to data collected by DEITy, five important electronics markets in India are dominated by a handful of MNCs (Table 2). 95 Table 6: A Taxonomy of Standardization Tasks282 1. Develop technology to support the standard 2. Analyze the cost-benefit ratio of adopting an existing international standard versus creating a new domestic standard 3. Identify licensing fees for essential patents (for both existing standards and for newly created standards) 4. Pass testing, conformity assessments, and certifications 5. Identify membership fees for formal and informal standards-development organizations 6. Quantify logistics (travel, etc.) costs 7. Assess the cost/risk of including one’s own patents within a general standard 8. Manage the patent pool 9. Establish back-end support for standards implementation and quantify costs 10. Establish legal (litigation) support and quantify costs 11. Establish lobbying support and quantify costs Table 7: International Standardization Strategies Free rider: Let MNCs decide which standards to use, and save cost by not investing in the development of domestic standardization infrastructure and capabilities Fast follower: Develop domestic capabilities that are necessary to rapidly adopt existing standards so that the standard’s technology can be manufactured and marketed quickly Co-shaper: Revise existing standards and/or adapt proposed new standards to suit domestic needs so that current and new products may be quickly developed and marketed Standards leader: Create new standards to suit domestic needs and embed essential domestic patents within these standards so that current and new products may be quickly developed and marketed 282 Table 6 highlights important tasks of standards development. Typically tasks 1, 3, and 4 are the most costly. However, in cases involving litigation, legal costs can easily run into the hundreds of millions of dollars in the United States. In principle, these countries and their companies may select one or a combination of the standardization strategies described in terms of rising sophistication in Table 4. 96 Figure 1: Projected Demand-Supply Gap in Electronics Industry (USD bn)283 283 Ernst & Young, 2009, Electronic Systems, Design & Manufacturing Ecosystem. Strategy for Growth in India , New Delhi: p.9. “CAGR” is the “Compound Annual Growth Rate”. 97 Figure 2: World of R&D 2012 98 Figure 3: Hard Disk Drive — The Path to Tight Oligopoly284 284 Source: http://en.wikipedia.org/wiki/File:Diagram_of_Hard_Disk_Drive_Manufacturer_Consolidation.svg. This is a file from the Wikimedia Commons, a freely licensed media file repository 99 Figure 4: India’s electronics manufacturing value chain285 Figure 5: Barriers to Growth: Taxonomy of Regulations Constraining the Growth of Electronics Manufacturing Industry286 285 Source: Author’s interviews 286 Ibid. 100 Figure 6: What Industry Expects from Policies in Support of Electronics Manufacturing and Innovation287 Top wish list Provide the basic manufacturing infrastructure: Land, power, water, etc. Reduce the cost of capital and make capital easily available Foster innovation by funding innovative start-ups Listed by decreasing Reduce the multiplicity of regulations through single-window clearance number of mentions Invest in skill development and knowledge transfer Push the fab policy Invest in standardization and testing facilities Foster and build a domestic component industry Figure 7: Which States Have a High Electronics Manufacturing Potential?288 Which states already have the underlying factor endowments (infrastructure, human resources, capabilities) that could in theory position them well, but require substantial reforms and/or investments to unlock this potential (e.g., low-income states which could show strong growth in the medium- to long-term)? 287 Ibid. 288 Ibid. 101 APPENDIX TWO: QUOTES FROM INTERVIEWS Chapter 3.2 “The highest standards products do not come out of India yet. The problem lies in the fact that the Indian engineers are not exposed to the marketing side which leads to them not finding out what the customer actually wants. The capability is there and people are eager to move onto bigger things but the lack of exposure to various aspects of business is a deficiency in the skill set.” – Indian IC design company. “Many people seem to think that Indians have strong design capabilities but in actuality it is service capabilities. Indian companies seem to have strong services capability and not necessarily strong design capabilities. India seems to have some functional design and system design capabilities but not much capabilities in design for manufacturing. Also there is a weakness in the capability to understand the market and to translate this knowledge into specifications.” – MNC. “Indian design companies do have a service mentality. …India should focus on what we are good at. The lower rung manufacturing should be left to China. India has high intellectual brainpower. With so many engineers coming out of colleges, India should develop IP [= intellectual property]. This is the reason we decided that we will not be a services company. We are an IP company.” – Indian IC design company. “Typically Indian design firms don’t get good projects. Foreign companies are worried that they might loose their IP when they outsource work here. There are not too many design companies in India serving the domestic market.” – Indian systems integrator. “The design capability is not really there for the SMEs. We cannot spend money on having an in- house high potential R&D team. The industry-academic linkage is next to nil. Students from good colleges never want to work with the SMEs. Maybe we can work together with Indian educational institutions on one-year projects, where we can have new products coming out of such projects. But this kind of an arrangement is difficult to implement.” – Indian component manufacturer. GST (3.3) “The multiplicity of taxes and the delay in bringing GST has become a major thorn for us. The movement of goods across states is very restricted and difficult with all these taxes and laws… "The biggest fiscal constraint is the disparity in tax from state to state. That is why GST is so essential….The next problem is the lack of clarity of tax structure. We have so many taxes like central excise, sales tax, income tax, professional tax etc. So many taxes make things very complicated. Besides this there are 50 other things which are required for doing business. Why can’t we have a single sheet of paper which lists down very clearly what all is required for business, instead of the maze which is currently present?” – Indian component manufacturer. “The major challenges we face in the component industry is the variation of the sales tax from one state to the other. For example (if I am selling in West Bengal) – I have to give 2% (Central Sales Tax) + 14.5% (State VAT) + 1% (Octroi for West Bengal) + 12.5% Excise + Education Cess etc. 102 This is in addition to the corporate income tax that we have to pay. Compare that with China where they follow a unified national tax policy.” – Indian EMS. “A major fiscal constraint is the disparity in tax structure from state to state which leads to a lot of hassles. A nationwide GST would really help in this regard.” – Indian EMS. “A major fiscal constraint is the disparity in tax structure from state to state. Varying VAT levels and dealing with sales tax also creates a lot of hassles for us. Something like a standard GST (Goods and services tax) would do wonders for this problem.” – Indian smart card producer. “Disparity of tax structure from state to state is a major challenge. Every state has a separate VAT structure. May be the GST regime would answer that.” – Indian Systems Integrator. “A major fiscal constraint … is the lack of a uniform taxation system nationwide and the slow process of implementing GST.” – Japanese MNC, IC substrates. “I am fairly optimistic that GST will be implemented within the next 3-4 years then the disparity in the tax structure can be tackled from state to state. In the lighting industry – Maharashtra for example has a 4.5% VAT while Delhi has 12.5%. In fact the definition of LED is blurred when you go from state to state. Sometimes it is considered as electronics while sometimes it is considered as electrical. Some states even now have entry tax (known as Octroi).” – Indian EMS for lighting devices. “I would say that the biggest challenge for our business is the tax structure. The multiplicity of taxes and duties makes carrying out business a very challenging task .We would prefer something like GST which would be a single tax and subsume all other taxes within it.” – Indian EMS. (GST x 2) “Things are too complex with regards to taxation. There is no clarity as to the rules and no one authority to deal with which will handle all the issues. For example if you have to get material from the US via Mumbai to Bangalore, you have to deal with a number of tax laws and then again such complexity is present for interstate travel also. Our tax auditors are constantly struggling to deal with the maze of the confusing tax laws for procurements and deal in general” – a domestic EMS company and exporter. “Section 32 A/B of the Indian Income Tax act allows us to request exemption on R&D. However, when we went to DSIR to complain that we cannot get this exemption they said that unless you are manufacturing in India, we cannot give this exemption.” – a domestic chip design company. “Unpredictable tax regulations are a major issue. We do our billing in the US and not in India as being taxed in India leads to many complications. The retrospective taxation principle is a major headache.” – Indian IC Design company. 103 “State VAT and Excise tax is the second biggest constraint. To give you an idea how serious this problem is: ELCINA has three to four people who have been working for 10-12 years to inform the members of any changes in the laws and tax regulations.” – Indian Components company. “A lot of CENVAT credit has been built up for our company over time. But the government is not clear as to on what qualifies for availing this CENVAT credit and keeps changing the criteria frequently. This has led us to having great difficulty in long term strategic planning.” – Indian EMS provider. “The service tax is a major challenge. For all the service tax exemptions we have to apply for credit. Why are we required to pay in the first place? Every quarter there is a notice and it is a bureaucratic process to make the officials understand the same thing again and again.” – Indian EDA Tool Provider. “There is so much tax-related paperwork in operating manufacturing business in India. For example importing a software – I have to pay both VAT and Service Tax. For refunds, the VAT refund comes in 2/3 years while service tax refund never comes. Tax credit process is extremely cumbersome. • Clarity and simplicity of regulations is most important. So many notifications are there and so much paperwork is required to comply with all these impractical tax structure.” – Indian IC design company. “Being a fabless company, we can be considered a hybrid of a product and service company. This has led to many tax-related issues. For example when we import IP, we must pay a service charge of 10% on it which does not make any sense. Also, for such transactions, 20% TDS is cut and if the foreign company does not have a PAN card in India, the refund cannot be claimed. Obtaining the PAN is a major headache as the process takes 6 weeks, leading to additional delays and impeding business. Often the IP selling companies are reluctant to pay these taxes and thus to secure business we must often pay the tax amount. Thus overall, we end up paying 130% of the asking amount which leads to a lot of financial uncertainty for a company like ours…” “The retrospective taxation issue is also a major one as you are never sure when a case is really closed, creating a very uncertain environment. … Lack of Indian government knowledge with regard to the nature of fabless companies and R&D companies in general has led to taxation and other clauses which hinder the progress of such companies. We have always tried to follow the path of least resistance in these matters but stills these things make life difficult for any start-up.” – Indian IC design company. “Managing sales tax issues is itself difficult and results in large amount of record keeping, filing returns and compliance to various vague rules and regulations – this seriously effects the flow of materials for even movement of spares to ensure timely service to the hospitals/ users. Each state has a different set of tax laws which too results in compliance difficulties and consequent litigation.” – Indian producer of medical equipment. Inverted Tariff Structure 104 For instance, an Indian EMS provider, argues: “The biggest challenge for us is the inverted tariff structure which is seriously retarding the electronics industry’s growth. There are a lot of EMS companies in China so why should anyone get it from us? We are very uncompetitive as compared to these countries like Vietnam, Indonesia, Malaysia and Taiwan. There is no duty for imports from these countries and there is no protection for the domestic industry. It is not a level playing field at all.” For an Indian smart card producer,” The major challenge for our business strategy is dealing with the inverted tariff structure. The customs and duty on the final product is very low compared to that on the raw material that we use (plastics). Thus imports are much more competitive than the locally manufactured products.” A similar assessment is offered by an Indian producer of Smart Energy Meters: “India’s inverted tariff structure is a major problem for our industry and countries like China are taking advantage of it by dumping very cheap products on our market.“ For an Indian EMS provider, “the inverted tariff structure is killing the industry with trading proving to be much more lucrative option that manufacturing.” And another domestic EMS provider provides a detailed explanation: ” A major challenge for our business strategy is the inverted tariff structure. The very low tariff applicable on finished products from China and others makes things very difficult for us. This is especially true in the case of high volume and high potential items like mobile phones. There is no level playing field. I know from my own experience that in China, these companies are heavily subsidized in terms of cheaper land, power etc. which gives an unfair advantage to them. The Indian government should go ahead and impose anti dumping duties on these countries and products.” 3.3 The need for Single Window Clearance “We have so many taxes like central excise, sales tax, income tax, professional tax etc. So many taxes make things very complicated. Besides this there are 50 other things which are required for doing business. Why can’t we have a single sheet of paper which lists down very clearly what all is required for business, instead of the maze which is currently present?” Lack of coherence “There are too many regulations and they are all over the place. This we analyzed as th e biggest issue in fostering manufacturing. To cater to this problem, we proposed an online repository of regulations where somebody who is starting up a new business knows what kind of clearances need to be obtained and who is the contact person for the same. Currently every department (ministry), every state has different regulations and it becomes very difficult for new entrepreneurs to comply with these regulations.”- Analyst. Too much paper work “There is so much paperwork in operating a manufacturing business in India. For example, for imported software, I have to pay both VAT and Service Tax. For refunds, the VAT refund comes back in two to three years, while service tax refunds almost never arrive. The tax credit process is extremely cumbersome.” – Indian Fabless Company. 105 Large number of laws “The large number of laws to be complied with in manufacturing runs into pages covering labor laws, factories act, safety & fire, pollution control, sales tax, excise duty, central government and state government compliance, other statutory obligations such as ESI, PF. Etc. This only adds to inefficiencies and therefore makes the units uncompetitive.” – Indian start-up Medical Electronics Manufacturer. Corruption “There are too many procedures, ministries intervening. Getting money back for overpaid fees is such an issue. We have to pay such a high customs duty (33% on passive components – all added up). And corruption at the lowest level of customs is very high. If we disagree disagrees to pay Rs.2000 as a bribe, our imported material will be delayed by two weeks.” – IP Company. 3.4 CUSTOMS Companies have highlighted in particular the following concerns: “Obtaining custom clearances is a challenge. Custom delays are very frequent, even though opening the package is not that much of a concern. Private companies may be facing larger challenges than we face.” – PSU. “The customs bureaucracy is very difficult to handle when we import the various test equipment and that creates a big disincentive for anyone venturing into this market.” – Indian IC design company. “We import about 20% of the value of procurement. We have seen numerous problems with customs clearance and handling. It takes a lot of time and the clearing agents sometimes open up the consignment just to check. We have no control over the shipment as we have already paid to the overseas supplier but the material is never received in the same packing as was originally done at the supplier side. These clearing agents typically are more interested in serving large MNC companies as we have very small volume and very small possibility of getting them bigger revenues. We have tried air-freight also for resistors, but one time we lost one/two boxes during transit. The categorization of electronic components is not clear to these customs officials. We have to spend a lot of time in explaining them the exact categorization and hence the right duty amount that needs to be paid.” – Indian SME component manufacturer. STANDARDS Here are a few examples of industry views on the weakness of India’s standards system and suggestions on what needs to be done to improve it. “India’s standards system is weak, and reflects the limited exposure of electronics manufacturing to the international market. Strengthening the India’s SDOs is critical for unblocking the barriers to growth of electronics manufacturing.” – International EDA tool provider. “Our products are made according to JSS (Joint service specification) grade, which is an Indian defense specified grade and which is similar to MIL (US Military) grade. But the problem is that 106 these JSS standards have not been very active for past 5-6 years which is a big cause of worry as our products may not be accepted abroad without their certification.” – Indian component manufacturer. “I think we are lacking in the overall standards for electronics and electronic components. BIS is doing some work but that is extremely limited. LCSO is only for mill grade components.” – PSU. “The current level of standardization is extremely poor in India. And now the government is sitting up and taking notice that standards can be used as non-tariff barriers to stop grey market products from proliferating. For example – they have specific BIS guidelines for the safety standards of set- top-boxes in India. This means that any company (Chinese or Indian) selling STBs in India has to obtain a certificate from a lab in India specifying that they comply with India specific safety standards.” – Indian electronics manufacturer. “Standards are extremely important for the benefit of the customer as well as for the benefit of the industry. But too few people understand, how best to deal with them. For example – when we sell to a builder in bulk, he doesn’t care about the star rating. All he is concerned about is the upfront price…..Quality, Energy and Safety standards are extremely important for our business. We feel that effective standards provide benefits to facilitate technology transfer and protecting the interests of customers thereby benefiting the industry.” – MNC. “Standards in India are in bad shape. Very few people in India like to work on standards for electronics. Take battery standards, safety standards or interoperability standards. People in the government are afraid to take up standards as it is something that they don’t understand too well. Moreover, standards could be used as good trigger to compete with China on quality and safety. – Indian manufacturer. “We are not satisfied with the standards ecosystem in India. There is no clear mapping of the international ISO standards. Government tenders differ in the standard specifications that they require.” – Indian manufacturer. “Standardization is extremely important, but there are not enough testing facilities in the market to promote not only standardization but also good quality products. There is the TUV lab in Whitefield which has 50-60 days of backlog work because of which companies are not able to bring tested and certified products to the market quickly enough.” – Indian Venture Capital firm. “There are no standards for LEDs. Every category of product should have a BIS standard. It is more of an industry function than government function to make sure that BIS standards are strong. But it is unlikely to happen as government wants to retain control of such functions.” – Indian EMS. “There are no quality standards requirements for the manufacturing and use of medical devices in India. This further increases the woes faced by “quality” manufacturers like us….We understand there are a number of false claims of CE marked products coming from China. There is no agency to even verify the genuineness of the CE certified imports. There should be a registration process for organizations / trader importers who want to sell medical devices in India. 107 Lack of resources with the GOI should not paralyze implementation of a registration process. Every country to which we export has such a process in place, including third world countries and emerging economies.” – Indian producer of medical equipment. “Unfortunately very few Indian MSMEs follow standards and thus their quality becomes suspect in eyes of foreign customers.” – Indian EMS. "I feel now the Government of India has realized the need for standardization and we are seeing a huge effort in that area. However, the slow speed of implementation is a major issue and in the electronics industry, hence speed is crucial. We need to ensure that new products developed are certified in time. …The second important thing regarding the standards is the presence of testing labs and facilities. If there are not enough labs (or they are too costly), then the whole standardization effort would be wasted.” – International EDA tool provider. POLICY DIALOGUE According to an Indian IC design company, “While policy debates within the government about how to reform regulations and to design smart policies are important, ideas on their own will not change the barriers to growth of the electronics manufacturing industry. In order to effectively implement these reformed regulations and policies, it is necessary to discuss details and implementation strategies with important stakeholders through an intensive and continuous “industrial Dialogue” on many levels. A few isolated high-level conferences won’t do the trick. Without such “Industrial Dialogue” it is very difficult for policy-makers to develop a sound understanding of the real world competitive dynamics of a particular industrial segment that are targeted by the above policies.” An Indian EMS provider, adds:” There are very few forums that communicate what government is thinking and or doing. The bridges are lesser and are weak. The bureaucracy is top heavy and it is a big challenge when the industry wants to approach the government. They don’t know whom to approach.” And for CUTS International, India’s Consumer Unity & Trust Society, an industry association that promotes the reduction of restrictive regulations, “…[t]here should be a lot closer association between industry and government. Other stakeholders such as industry associations and consumer organizations should come to one forum and help in implementing these recommendations. Mr Pradeep Mehta has come up with a concept of “Growth Compact” where they are creating a body (totally apolitical) with representation from different ministries and industry. Mr. Arun Maira is also working on India Backbone Implementation Network (IbIn), which is the implementation framework on policy and planning issues.” INDUSTRY’S DESIRES FOR SUPPORT POLICIES “The Government should do whatever it can to get MNCs and big players into the country. It can create SEZs which have all facilities for these MNCs and which have very few restrictions. However, industrial land should be kept for industrial purposes only and should never be sold for any other. In fact, no one should own the industrial land. The Government can give it for a lease 108 and then take it back. This will prevent misuse of land for non-industrial purposes, which is something very common here (he pointed to the plot of industrial land nearby which had a residential colony).” – Indian component supplier. A number of companies agree that a particular focus should be on attracting EMS providers. Quite specific suggestions were offered by a foreign IDM: “It is essential that we clearly develop a manufacturing policy that would aim to create an ecosystem of electronics manufacturing and get bigger players to invest in India. Once bigger players commit, the ecosystem will self-develop. Moreover, our focus should be on getting some of the bigger global EMS providers like Foxxconn or Flextronics to expand in India as electronics manufacturing is all about scale. We need to select the right companies to pitch to. IESA might be pitching to everyone (including OEMs such as Cisco, Dell etc. who have very low interest in getting into high value add manufacturing).” An Indian component supplier, adds: “Having more EMS providers in India would obviate the need to get the work done abroad and would also create a lot of local demand for our products.” And an Indian electronic equipment producer adds: “To attract foreign companies, the government should provide subsidies or cheap land, but they will also have to provide the necessary infrastructure.” A second widely shared expectation is that industrial support policies should focus on upgrading the domestic electronics industry value chain by strengthening critical technological and management capabilities for priority products and components. According to a domestic EMS provider, “…[a] major problem of our industry is that it is not globally competitive. The Indian hardware manufacturers see the requests and standards of European and US customers as too demanding and thus focus only on India, making them highly uncompetitive. China and others did exactly the opposite of this and were export oriented from the start and thus they are very competitive now. Recently I was trying to get and order from European customers and for our raw materials we contacted both Indian and Chinese companies. The Chinese companies responded with their offer well within the day but the Indian’s didn’t respond for a week and asked too many questions. This led to the US client losing confidence and they asked us to buy the raw materials from China.” In order to upgrade India’s electronics manufacturing value chain, support policies would “need to provide funding for R&D and for translating this knowledge into innovation; facilitate investment in infrastructure for setting up manufacturing business; procure locally to boost domestic manufacturing; and invest in skill development. We have only 15 good engineering colleges in India. There is a huge dearth of quality engineers coming through.” – International EDA tool provider. A domestic IC design company highlights three priorities for such policies: “1. R&D incentives like grants are desperately required. 2. Taxation and PMAS policies need to be defined to incentivize R&D. IP procurement should get tax benefits.3. Better recognition and awareness about R&D and fabless electronics companies which would help define more suitable policies for them.” 109 According to an Indian IC design company, “…[f]or start-ups to enter the electronics hardware industry, the initial setup costs are too high. So one way to judge the success of the policies is to see substantially more startups entering the industry. Growth in level of IP development and product development is also a very good indicator of the success of government’s policies.” According to an Indian producer of smart meters, success of industrial support policies depends on”…[w]hether small companies are getting sufficient opportunities to compete on a level footing with the big companies for the government tenders i.e. whether the system is fair or not.” And a small Indian component supplier provides two examples of successful support policies: ” For SMEs, there is a Delayed Payment Act that the government has passed. Any MNCs/ large companies procuring from a registered SMEs have to pay the money in 30-45 days. Earlier they used to pay in 180 days (sometimes even 365 days).But what if the companies do not comply, there is no redressal system. I cannot raise my voice against my customers. The Government’s International Cooperation Scheme for SMEs is also very interesting. This policy reimburses a large part of the airfare in participating in global exhibitions and some part of the exhibition stall costs.” NPE (1) Industry voices “I am aware of the NPE. I think it is has come more than two decades late but bet ter late than never.” – Japanese Chip substrate producer. “I am aware of NPE but do not know much about its various details. But I know that the government is making a lot of effort to ensure the growth of the electronics hardware industry. Recently I had gone to a conference of ESDM players in Delhi and a lot of things had been discussed there. I am hopeful that NPEs targets can be achieved.” – Indian smart card producer. “I have heard about the national policy on electronics. It doesn’t seem to be practical. You talk about the Fab while in India you just can’t manufacture anything.” – Indian IC design company. “We have heard about the National Policy on Electronics but frankly speaking we are not much aware of the finer print. MAIT has been talking about the electronics manufacturing clusters (EMC), but we think all this is still on the drawing board. We don’t know what is going to happen after union elections in 2014. PMA might be extremely useful for us but in order to succeed in the long-term, the cost structure of manufacturing domestically should improve. The root-cause for limited domestic component manufacturing should be solved first.” – Indian manufacturer. NPE (2) “If we can boost domestic component manufacturing and make it cost competitive, then the end products capability would also improve.” – Domestic components manufacturer. “Building entire value chain should not be done at one time. Focus should be on developing priority products and components at one time. We should probably focus on getting PCB / PCB 110 Assembly / plastics / connectors / passives and ATP [=assembly, test & packaging] facilities first. These things don’t require huge investments and there is a potential of getting into positive return on investment from these facilities. We should at first focus on a $200m investment with 1000 people.” – Foreign semiconductor company. “I don’t believe that an ecosystem can be created by design or desire. A well functioning ecosystem is created by business viability and presence of opportunities. The right environment is important but there is no point in pushing without business finding it viable.” – Domestic EDA Tool provider. “We should not insist to get 100% manufacturing done domestically. Depending on supply chain constraints / demand supply equations, even if an OEM sets up in India 70% domestic components sourcing and 30% foreign we should be ok. It is not practical to aim for 100%. But for specific components and products we should think about becoming a global hub that does not just cater to the domestic market but also to exports.” – Domestic R&D service provider. NPE (MORE) Some companies raised similar caveats with regard to the “high-volume, low-value” paradigm. Finally, however, two respondents provided arguments for a focus on “low volume, high-value” products. An Indian PSU with a heavy exposure to the so-called strategic industries, argues “India should also be able to manufacture high-value low volume products. Some niche companies should come up and play in these product segments.” And an Indian producer of medical equipment, states: “I don’t really agree with the choice of products. I feel India has a very good chance of competing at the global level in low volume and high complexity products. We definitely do have the capability to do well in that category.” In contrast, here are some pro- “mass manufacturing” arguments: An Indian IC design company, argues: “The priority products seem to have been correctly identified because these are volume products. The costs of setting up indigenous facilities for such products will be high initially but in the long term it will surely decrease. The government’s priority product policy should try to identify products which can generate volumes in the long term volumes because by then the indigenous facilities can be competitive. We can definitely compete with these countries because our design houses are capable of and are actually involved in a lot of innovation.” “I agree with the government’s choice of the products as these are all strategic high volume products. For example – consider STBs, we have about 75 million STBs required in the next 2-3 years and almost all of it will be imported. So the government has thought about the trade deficit and how these priority products can help us improve that situation.” – Indian final product manufacturer. “The priority products seem to have been correctly identified as these are volume products and they can drive the future of the Indian electronics hardware industry. But some of the current incentives are lop-sided as people like Micromax make more money in trading than they would do in a capital intensive business (from manufacturing in India.” – International semiconductor IDM. 111 “It is a good decision to start off with consumer based products rather than B2B products.” – Indian IC design company. “The choice of the products is correct as these products are mass market products. A lot of these products like smart energy meters have a high level of Indian value add which can help it to be competitive. Talking about competitiveness without having domestic value add is a very hollow claim.” – Domestic EMS provider. “Yes I agree overall with the choice of products, but we don’t have the capacity domestically to manufacture set-top boxes. SMEs cannot get into these products but may be they can come together and join hands and use infrastructure available with companies such as BEL etc. to mass manufacture. Even then, we might not be cost competitive. May be we should accept a set-top-box at Rs.1200 even if it is available from China at Rs.1000.” – Indian component manufacturer. “Indian players have already entered low-cost smart phones, and are doing well. For all other products: If local manufacturing ecosystem is built /cost differential addressed we can compete anywhere. For many of these high volume / low value products margin is only 6-8%. And if this goes away in the cost differential how can companies aim to survive.” – Indian R&D service provider. An Indian smart meter producer, adds the following concrete suggestions: “With regard to smart meters, we can definitely compete against other countries, provided the following problems are addressed: 1. The government approval process must be shortened. Taking approvals is a very slow process and often we have been stuck for 5 months for what should have been a simple and quick approval process. For example – getting an ISI mark for a new product takes a lot of time. 2. The certification process for our products is also so slow with labs like National Laboratories taking an inordinate time to get approvals. And 3. If incentives can be given to start-ups, we can definitely innovate and compete.” NPE (PRODUCTS) According to an Indian producer of smart cards, “The government should add smart cards, as this is a very fast growing industry. Today an average Indian has at least one smart card in his pocket and if you talk about the below poverty line (BPL) population, every individual has around 2-3 smart cards. So the opportunity is huge. The problem is that with China’s cheap products and attractive financing schemes, the Indian smart card industry is running below capacity. Almost 50% of our capacity remains idle as of now. Thus the domestic industry needs incentives (maybe taxation related) and some kind of protection from the Chinese imports to grow effectively.” A concrete suggestion on how to implement a successful push for domestic smart card production without facing WTO compliance problems comes from a Japanese provider of IC substrates. “I think smart cards must be added to the priority list immediately. The government should make smart cards the focal point and use the logic of enhancing the country’s security to use smart cards to grow the electronics industry. If an act is passed in the parliament making this a national security initiative, there is nothing the WTO or other treaties can do.” 112 The same company also raises doubts about India’s capacity to compete with China on “high - volume, low value” production: “There should be no talk of competing with China. Let’s accept the fact: We cannot compete with China right now. Take the example of solar cells, everyone was planning to enter the market but after China’s huge capacity expansion investing in it doesn’t make sense. But such logic cannot be followed for such a strategic product as smart cards. Even if the locally produced smart card is 30-40 % more expensive, the government should encourage the use of the domestic product. Effective standards are an important tool of completion. For instance, the government could prevent Chinese import by specifying some difficult to meet standards which will give us a breathing space of 4-5 years in which we can develop our domestic ESDM industry and then compete with China. For example we can specify a particular level of UV radiation based production which will make it difficult for the Chinese to meet the standard.” “I am not too sure about the choice of priority products. Especially in consumer electronics, by the time the domestic players come up and manufacture their own design, the technology will be obsolete. The incentives should be provided to global industry leaders such as LG, Samsung, Toshiba to do more of the work from here. We should learn from China which encouraged partnerships between domestic and foreign players and attracted FDI.” Doubts whether India’s lack of a domestic component base will obstruct efforts to promote high- volume production or priority products have been raised for instance by an Indian EMS provider: “In principle, " the choice of the government’s priority products is fine and they are all high volume and high potential products. However, what these products have in common is the fact that almost 75% of their value is made up in the bill of materials. Hence if you don’t have local components and semiconductor manufacturing, you will never be price competitive in these product segments. These will definitely take a lot of time to be set up in India. In the meantime, the government can help he local industry by imposing anti-dumping duties on China and protect the industry the same way import protection is done in the automobile industry. Truth be told, I feel that we have lost out on this race and the only hope for us is the growing demand which will make the authorities/companies wake up and take necessary steps.” A similar argument is made by a domestic EDA tool provider: “The consumer electronics industry is fickle even though it is high volume but we are so far behind that we cannot make it work. The case of Akash Tablets is also there (where such major issues happened between the Canadian and Indian companies). India however may have a chance for products such as smart meters where these products can provide a competitive industrial advantage.” EMC Critical voices from industry include: “EMC is a good policy but it has been implemented in a crazy way. For examples the clusters which have been set up in Karnataka are all located in the remote areas of Bangalore almost 80 km away from the city, where there is absolutely nothing. Tell me, why would anyone go there? How will technically skilled people (even from abroad) come to work in such places when you don’t have things like hospitals/schools etc for their family nearby?” – Indian EMS provider. 113 “I would say that the government makes a mistake by focusing on clusters. You just cannot force a cluster to be set up, it has to evolve automatically. Look at what happened with automobile or the textile industry. Government should keep out of these matters. From what I have seen, forcing clusters to come up often leads to real estate scams more often than not.” – Indian component manufacturer. “Who owns the EMC? Who is the government pitching to? Pitching to private players to develop and invest in parks is not going to work. A manufacturing cluster is very different from a software cluster and each plant has different needs unlike software parks hence cluster/park development by private players is unsuccessful. This is a major issue and the absence of a local ecosystem is a major barrier for companies setting up Indian facilities. Including an EMS in the cluster development plans is a must as even big companies like GE India also outsource their entire electronics manufacturing to EMS companies. Automotive sector (especially the growth of automotive ancillary) should act as a case study for developing the clusters in the electronics industry.” – International IDM. “I am not in favor of developing clusters at all. Why can’t you incentivize individuals to setup wherever they want? Why can’t the government give us a choice in this regard? I tell you, if a place like Andamans has proper infrastructure and incentives, we can move there also. There should not be any restriction on the places where one is incentivized to set up facilities…..Why do you want to create islands of growth? The goal should be t spread benefits evenly.” – Foreign Chip substrate manufacturer. “I am not really sure what to comment on this but I feel that the electronics industry is a very fragmented industry in India. It is very difficult to ensure that the various players come and settle down in specified clusters. The need is to offer incentives even if you don’t settle in clusters.” – Indian smart card producer. “EMC might just benefit major established companies who wish to open a new facility. For an SME moving to an SEZ or to a cluster will not be economically viable. Whatever may be the incentives.” – Indian systems integrator. “The government’s cluster and SEZ schemes, have a major probl em in that there is no incentive offered if you don’t move to the SEZ. Tell me, if I have been working in Bangalore for 5 years and all my employees are here, how can I move to Chennai just to get the SEZ advantage? Why can’t I be incentivized for doing manufacturing here in Bangalore? Even if somebody pays me twice the money, I will be reluctant to move to Chennai.” – Indian smart meter producer. “Basically the clusters are all real estate projects and have not worked for electronics. Though the idea of the government is right, I feel clusters should come up naturally and should not be forced. Also, to get the various subsidies promised in these clusters, you have to really chase the authorities. It is very difficult to actually get the money thus there is no real incentive for us to shift to these clusters even if the level of subsidy is very high because we will not actually see the money.” – Indian medical equipment producer. Supporting voices from industry emphasize possible agglomeration and learning economies: 114 “Going by the examples of other countries, clusters definitely do help. Having a well developed cluster will help create multiple opportunities for chip design companies” – Indian IC design company. “What I have seen in the other countries, clusters have proven to be very successful in developing the industry. The major advantage that clusters have is that they help solve the problem of deficient infrastructure in developing countries. The major area where this helps is logistics as all the players in the value chain are located very close to each other.” – Indian EMS provider. A few respondents offered suggestions on how to improve the impact of the EMC scheme: “Effective cluster of companies who can learn from each other. These clusters woul d be better suited where there is a higher consumption (Maharashtra / Gujarat). These two states can act as pioneers in the LED industry to show the way. North people do not want to invest heavily. Watches industry cluster was developed in Hosur as one company (HMT) invested and it got transferred to other companies.” – Indian EMS provider. “Clusters are extremely important. We should have cluster based on the priority products selected. Infrastructure has to be provided by the state government. The ownership should lie with DEITy for pushing through the implementation on EMC.” – International EDA tool provider. “The rentals for SEZs are too high to be affordable for any startup. They are only affordable for big MNCs. Also a lock in of 5 years is required for such SEZs. Tell me, how can a startup afford that kind of payment? The SEZ grants say that you must move into a particular area (say Chennai) to receive 25% subsidy but the scheme offers nothing if you set up facilities in other non-SEZ areas. At least they should offer 20%. Basically the SEZ scheme is a money making racquet and it is allowing vested interests to make money out of real estate instead of contributing to the growth of electronics in the country. The SEZ scheme is like a wolf in sheep’s clothing; it looks attractive but there is nothing there for most companies. …. To avoid such abuses, I feel that the developer of the cluster needs to have a long term vision. The goal cannot be to merely extract financial benefits from setting up such clusters. The target should be to ensure development of the ecosystem and promotion of innovation. Basically the effectiveness of such clusters all boils down to the creator of the cluster. Many vested interests are also involved in setting up clusters/SEZs and measures need to be taken to prevent that from happening.” – Indian IC design company. “The major advantage of clusters is that it mitigates the impact of the various drawbacks in India. That is, it provides good infrastructure, proximity to suppliers and customers which eliminates logistics issues, opportunities to learn and innovate, access to laboratories etc. ELCINA has been mandated by DEITy to develop clusters in India and we are our level best. We have developed one in Bhiwadi in Rajasthan and in 2-3 years another one in Chennai’s Sree City may come up. We are facilitating the access to land of the size of 50-150 acres and it is the responsibility of the members to develop the land. The members (interested industries) may float a special purpose vehicle or form real estate consortia to develop the land. 115 I would say that yes, this approach is not very attractive for small companies but I am sure that they can buy a small chunk of land (say half acre) and then develop it. We are planning to develop 200 clusters all over India so it should not be a major problem for the start-up to shift to the nearest cluster. They need not go too far away. Another point regarding the clusters is that many states treat these clusters as mega projects and this helps the companies in the clusters to avail additional incentives and subsidies. Overall I am highly optimistic about the effectiveness of the cluster policy.” – Indian EMS provider. EDF “It is very difficult for small companies to access such funds unless they have contacts or the clout. You have to go through a very complicated maze to get the funds. For example, there was a CGMT financing scheme for MSME companies which mandated government banks to give loans up to 1 crore for MSMEs without collateral. It was a good scheme but there were so many ground level implementation issues like lack of funds, banks unwillingness to lend without collateral etc. that it became practically useless for us.” – Indian smart card producer. “This is a very good idea for us. But I don’t know how would they release the fund. For Rs 100 that we earn as pre-tax profit we have to Rs.35 as taxes, Rs.15 as bonuses to employees and Rs.20 as dividend to shareholders. We are only left with Rs.30 to reinvest in R&D and new product development. If there is a fund that says that Rs.10 crores is allocated for a new product idea in the instrumentation space then we can propose something and use that money (Rs.5 crores in product development, Rs.2 crores in setting up manufacturing and Rs.3 crores in marketing). This would be hugely beneficial to us. But how would it be released has to be communicated to the relevant players.” – Indian systems integrator. “I have only heard of this scheme but I don’t know of its practical details. Things like eligibility criteria and disbursal mechanisms have not been delineated. A bureaucrat just seems to have made this up in his office without considering the implementation part of it. No schedule for its implementation has been made thus it is pretty much useless. The fund should have come sooner, it is already too late. Also, it seems that this fund would go the way of other Indian funds which look attractive but are not accessible at all, with bureaucrats saying “We have no money ourselves to give you.” – Indian chip design company. “"The biggest problem with schemes like this is screening out the cheats and those who don’t really intend to start up companies. To avoid that the following can be done: Instead of giving the funds directly to the companies to use it for whatever they want, the committee can use the money to give subsidized equipment/land to the company. This way the frauds and charlatans won’t come ahead and only those interested in really setting up companies will take advantage of the fund.” – Indian producer of medical equipment. Two foreign EDA tool providers expressed strong support for the EDF scheme and offered some practical suggestions: “The EDF seems to be developed as a mother fund of $2bn, and then several daughter funds (based on the priority products). This daughter fund would provide upto 50% of seed funding for a startup. Once this fund is utilized, government will wash its hands from managing the company etc. I am 116 not aware about the current status on EDF, you should talk to IESA about it. Our incubators, would not come under the EDF directly, but they will be pushed by DEITY. I think the method that the government is thinking about is the correct one.” – foreign EDA tool provider. “To counter the dearth of sophisticated VC firms, the government announced an Electronic Development Fund, where it would invest up to Rs.10,000 crore (Rs.100 billion ) in a 1:4 ratio, but the plan is yet to be ratified by the Cabinet. ….EDF is under discussion since nine months, yet still there is no decision. This indicates the extremely slow pace of decision-making in the Government. For an industry that has to think in 3months product cycles, decision-making cycles of 12 months and more are not very helpful….It is rumored that a major VC firm (Walden International )has offered Rs.500 crore (Rs.5 billion ) towards EDF” – foreign EDA tool provider. 117